加勒比综合AV电影,国产有码在线观看,亚洲无码国产无码 http://m.ozgbdpf.cn http://m.ozgbdpf.cn/resWeb/images/common/lp_logo.png 雷峰網(wǎng) http://m.ozgbdpf.cn 2015 m.ozgbdpf.cn All rights reserved. zh_cn Mon, 07 Jul 2025 05:00:41 +0800 全球首個(gè)AI「系統(tǒng)級(jí)代工」,英特爾代工將價(jià)值1000億美元 http://m.ozgbdpf.cn/category/manufacturing/YKgL0fIOUxjlyszE.html

三年前帕特·基辛格成為英特爾CEO不久,就組建了英特爾代工服務(wù)(IFS)事業(yè)部,隨后英特爾代工快速發(fā)展。

“在相當(dāng)短的時(shí)間內(nèi),英特爾代工的預(yù)期交易價(jià)值從40億美元提升到了100億美元,如今又上漲到150億美元,我對(duì)此感到滿意?!被粮裨诮谂e辦的Intel Foundry Direct Connect上充滿信心,“現(xiàn)在我們的目標(biāo)是,英特爾代工(Intel Foundry)在2030年成為全球第二大代工廠。

稍作計(jì)算就可以知道,2030年英特爾代工的預(yù)期交易價(jià)值將高達(dá)1000億美元。

芯片代工市場(chǎng)的競(jìng)爭(zhēng)非常激勵(lì),先進(jìn)制程領(lǐng)域有強(qiáng)大的臺(tái)積電和三星,英特爾真的能讓這一極具挑戰(zhàn)的目標(biāo)成為現(xiàn)實(shí)嗎?

我們是一家正在轉(zhuǎn)變?yōu)榫A廠的系統(tǒng)級(jí)公司,而不是反過(guò)來(lái)。我認(rèn)為我們的模式在代工行業(yè)中獨(dú)一無(wú)二。”英特爾代工高級(jí)副總裁Stu Pann直言。

這種獨(dú)一無(wú)二只有獲得客戶的認(rèn)可,才能稱其為優(yōu)勢(shì)。英特爾長(zhǎng)久以來(lái)的合作伙伴微軟力挺英特爾代工。

微軟首席執(zhí)行官Satya Nadella表示,微軟設(shè)計(jì)的一款芯片計(jì)劃采用Intel 18A制程節(jié)點(diǎn)生產(chǎn)。

更具說(shuō)服力的是,和英特爾存在競(jìng)爭(zhēng)關(guān)系的Arm,也為英特爾代工站臺(tái)。

Arm CEO Rene Haas打趣,英特爾代工和Arm的組合有點(diǎn)奇怪,好比當(dāng)沃爾特·莫斯伯格(美國(guó)知名科技專欄作家)和史蒂夫·喬布斯(蘋(píng)果公司聯(lián)合創(chuàng)始人)看到iTunes在Windows上運(yùn)行的感覺(jué)。

“英特爾的技術(shù)是行業(yè)領(lǐng)先、變革的,Arm需要成為其中的一部分。”Rene Haas表示了認(rèn)可。

芯片代工廠要服務(wù)好客戶,要解決的不是單純的設(shè)備、材料或IP的問(wèn)題,是一個(gè)系統(tǒng)問(wèn)題。所以英特爾代工的成功,一定會(huì)是英特爾代工生態(tài)的繁榮。

這也是Intel Foundry Direct Connect上,必須有Synopsys、Cadence、Siemens和Ansys等生態(tài)合作伙伴的出席,IP和EDA公司合作伙伴幾乎都是CEO級(jí)別的人物出席,對(duì)英特爾代工是非常積極的信號(hào)。

英特爾代工最終的成敗,還取決于英特爾的執(zhí)行力和對(duì)待客戶的態(tài)度。

信息隔離墻和全新路線圖,給客戶兩顆定心丸

2021年英特爾代工服務(wù)首次出現(xiàn),2022年升級(jí)為英特爾IDM2.0戰(zhàn)略,2023年英特爾宣布制造部門(mén)的損益單獨(dú)核算,英特爾各產(chǎn)品業(yè)務(wù)部門(mén)能夠自主選擇與第三方代工廠合作。

英特爾代工在過(guò)去三年間不斷演進(jìn),有了2024年2月的英特爾代工全新品牌。

英特爾代工不僅是一個(gè)全新的品牌,也是全新的組織架構(gòu),將技術(shù)、制造、供應(yīng)鏈和代工服務(wù)融為一體,是一個(gè)同時(shí)服務(wù)內(nèi)部客戶和外部客戶的代工廠?!庇⑻貭柎な袌?chǎng)營(yíng)銷副總裁Craig Org解釋。

對(duì)于英特爾代工所有的潛在客戶來(lái)說(shuō),安全性,也就是保證英特爾代工和英特爾產(chǎn)品間的“信息隔離墻”是首要關(guān)心的問(wèn)題。

基辛格明確,“英特爾(外部)代工和英特爾(內(nèi)部)產(chǎn)品團(tuán)隊(duì)之間有一條清晰的界線。今年,我們將開(kāi)始發(fā)布英特爾代工的獨(dú)立財(cái)務(wù)數(shù)據(jù)。英特爾代工的目標(biāo)是讓我們的半導(dǎo)體工廠產(chǎn)能滿載,向全球最廣泛的客戶交付產(chǎn)能。微軟已經(jīng)是英特爾代工的客戶,我們希望能夠服務(wù)于英偉達(dá)、高通、谷歌,甚至是AMD等?!?/p>

英特爾首席全球運(yùn)營(yíng)官Keyvan Esfarjani指出,英特爾的運(yùn)營(yíng)模式正在發(fā)生巨大變化,不再是在一個(gè)混合的運(yùn)營(yíng)模式中生產(chǎn)英特爾制造的產(chǎn)品,將擁有英特爾代工運(yùn)營(yíng)模式,英特爾產(chǎn)品部門(mén)和其它外部客戶一樣。

Stu Pann也說(shuō),“英特爾產(chǎn)品和英特爾代工有兩個(gè)獨(dú)立的銷售隊(duì)伍,我們也正在構(gòu)建兩個(gè)獨(dú)立的企業(yè)資源計(jì)劃(ERP)系統(tǒng)。基辛格將我們的員工會(huì)議作為兩個(gè)獨(dú)立的員工會(huì)議來(lái)管理,英特爾代工直接向CEO匯報(bào),是英特爾IDM2.0轉(zhuǎn)型戰(zhàn)略的支柱之一。英特爾代工和英特爾產(chǎn)品的員工不進(jìn)行交叉交流,在個(gè)人層面也有非常嚴(yán)格的保密協(xié)議。我們時(shí)刻保持警惕,‘信息隔離墻’得到了嚴(yán)格執(zhí)行,迄今為止效果相當(dāng)顯著?!?/p>

劃清英特爾代工和英特爾產(chǎn)品團(tuán)隊(duì)之間的界線只是給潛在客戶的一顆定心丸,對(duì)于代工這種需要長(zhǎng)期且金額巨大的合作,還需要路線圖這顆定心丸,這也是參與代工市場(chǎng)競(jìng)爭(zhēng)的前提。

在談及未來(lái)幾年的路線圖之前,基辛格先證實(shí)了其“四年五個(gè)制程節(jié)點(diǎn)”路線圖仍在穩(wěn)步推進(jìn),并將在業(yè)內(nèi)率先提供背面供電解決方案。

這是證明英特爾執(zhí)行力非常重要的信息,也是英特爾重回制程領(lǐng)先性的關(guān)鍵所在。

英特爾預(yù)計(jì)將于2025年通過(guò)Intel 18A制程節(jié)點(diǎn)重獲制程領(lǐng)先性。

不過(guò)臺(tái)積電在2023年Q4的法說(shuō)會(huì)上回答分析師對(duì)于Intel 18A的領(lǐng)先性的問(wèn)題時(shí)表示,2025年Intel 18A量產(chǎn)時(shí),與Intel 18A相當(dāng)?shù)呐_(tái)積電N3P已經(jīng)量產(chǎn)三年。

“我們得到的反饋是Intel 18A制程節(jié)點(diǎn)的每瓦特性能很棒。正如Arm首席執(zhí)行官Rene Hass發(fā)言時(shí)所說(shuō),我們的客戶一直告訴我們,他們相信Intel 18A是一項(xiàng)領(lǐng)先技術(shù)。我們我們相信他們說(shuō)的話。”Craig Orr對(duì)雷峰網(wǎng)表示。

Intel 18A之后的全新制程路線圖包括了Intel 3、Intel 18A和Intel 14A技術(shù)的演化版本,如Intel 3-T就通過(guò)硅通孔技術(shù)針對(duì)3D先進(jìn)封裝設(shè)計(jì)進(jìn)行了優(yōu)化,很快將生產(chǎn)準(zhǔn)備就緒。

英特爾全新的制程路線圖會(huì)有節(jié)點(diǎn)的不同演化版本,有些節(jié)點(diǎn)會(huì)進(jìn)行功能拓展(E版),例如Intel 3-E,是針對(duì)特定應(yīng)用的更多功能升級(jí)。有些節(jié)點(diǎn)會(huì)增加3D堆疊的硅通孔優(yōu)化(T版),例如Intel 3-T。還有些節(jié)點(diǎn)會(huì)進(jìn)行性能提升(P版),例如Intel 18 A-P,較原始版本約有10%的性能提升。

我們每?jī)赡陼?huì)有一個(gè)新的、大的節(jié)點(diǎn)發(fā)布,然后每隔一年左右就會(huì)有一個(gè)演進(jìn)版本,演進(jìn)版本的研發(fā)工作量要小于大的節(jié)點(diǎn)。漸進(jìn)式演進(jìn)和大變革同時(shí)進(jìn)行,當(dāng)我們把它們加起來(lái)看的時(shí)候,成本相對(duì)可控。”Craig Orr解釋。

雷峰網(wǎng)了解到,英特爾的大的制程節(jié)點(diǎn)間的性能提升至少有20%,比如從Intel7到Intel4。某個(gè)節(jié)點(diǎn)的演進(jìn)版本,至少會(huì)有5%的功耗或性能改進(jìn),比如某個(gè)節(jié)點(diǎn)的P版或E版。

AI系統(tǒng)級(jí)代工,獨(dú)一無(wú)二的優(yōu)勢(shì)

信任是長(zhǎng)期合作的基礎(chǔ),要達(dá)成合作還需要有獨(dú)特優(yōu)勢(shì)。系統(tǒng)級(jí)代工是英特爾拿出的獨(dú)家本領(lǐng)。

所謂的系統(tǒng)級(jí)代工,是在其它代工廠節(jié)點(diǎn)和封裝的服務(wù)之外,還有基板、散熱、存儲(chǔ)、互聯(lián)、網(wǎng)絡(luò)等系統(tǒng)級(jí)服務(wù)。

英特爾探索的是全棧式系統(tǒng),通過(guò)改變連接的方式,在使用相同技術(shù)的前提下將性能提高2倍甚至更多,這是走向未來(lái)的關(guān)鍵所在?!盋raig Orr進(jìn)一步表示,“要獲得與工作負(fù)載的增加相匹配的算力指數(shù)級(jí)提升,必須優(yōu)化系統(tǒng)的每個(gè)層面,包括存儲(chǔ)、網(wǎng)絡(luò)、軟件。包含了如何放置存儲(chǔ),存儲(chǔ)、帶寬、網(wǎng)絡(luò)等配置,以及如何將其映射到更高層次的軟件、芯片架構(gòu)和系統(tǒng)架構(gòu)中,使其與軟件,數(shù)據(jù)的移動(dòng)方式相匹配?!?/p>

英特爾作為系統(tǒng)級(jí)公司轉(zhuǎn)變?yōu)樾酒S的獨(dú)特優(yōu)勢(shì)還有更多體現(xiàn)。

“英特爾內(nèi)部擁有豐富的系統(tǒng)專業(yè)知識(shí),我們正在發(fā)揮我們?cè)谛酒到y(tǒng)領(lǐng)域的優(yōu)勢(shì),將這些專業(yè)知識(shí)提供給我們的客戶。”Stu Pann說(shuō),“我們通過(guò)系統(tǒng)級(jí)代工與競(jìng)爭(zhēng)對(duì)手競(jìng)爭(zhēng),首先,作為代工廠,必須具備有競(jìng)爭(zhēng)力的PPAC,即性能、功耗、面積和成本,沒(méi)有這些,其他一切都不重要。合作伙伴給我們的反饋是我們確實(shí)具備PPAC的競(jìng)爭(zhēng)力。另外英特爾代工還有封裝能力,這是我們已經(jīng)做了很長(zhǎng)時(shí)間也有差異化優(yōu)勢(shì)?!?/p>

英特爾已宣布將FCBGA 2D+ 納入英特爾代工先進(jìn)系統(tǒng)封裝及測(cè)試(Intel Foundry ASAT)技術(shù)組合之中,這一組合將包括FCBGA 2D、FCBGA 2D+、EMIB、Foveros和Foveros Direct技術(shù)。

理解了英特爾的系統(tǒng)級(jí)代工,再來(lái)談?wù)凙I系統(tǒng)級(jí)代工。

“系統(tǒng)是最重要的部分,能夠幫助客戶優(yōu)化整個(gè)解決方案,從而制造出最好的AI系統(tǒng)?!盋raig Orr坦言,“AI只是一個(gè)很好的例子,同樣的系統(tǒng)能力也可以有其他應(yīng)用。目前來(lái)說(shuō),AI可能是最重要的問(wèn)題,這就是我們強(qiáng)調(diào)AI的原因。英特爾的系統(tǒng)級(jí)代工能夠很好地助力客戶在AI領(lǐng)域取得成功?!?/p>

在大語(yǔ)言模型上進(jìn)行一次AI訓(xùn)練所需的算力每10個(gè)月就會(huì)翻一番。隨著AI的更多先進(jìn)應(yīng)用,所需算力的增長(zhǎng)速度只會(huì)越來(lái)越快。這也意味著AI芯片必須以更快的速度迭代才能滿足生成式AI時(shí)代的需求。

對(duì)于強(qiáng)項(xiàng)不是設(shè)計(jì)芯片的公司,英特爾的AI系統(tǒng)級(jí)代工有著獨(dú)特的吸引力,比如微軟。

微軟和Arm雙重認(rèn)可,英特爾代工有AI和Arm兩大市場(chǎng) 

微軟Satya Nadella說(shuō):“我們正處在一個(gè)非常激動(dòng)人心的平臺(tái)轉(zhuǎn)換過(guò)程中,這將從根本上改變每個(gè)企業(yè)和整個(gè)行業(yè)的生產(chǎn)力。為了實(shí)現(xiàn)這一愿景,我們需要先進(jìn)、高性能和高質(zhì)量半導(dǎo)體的可靠供應(yīng)。這就是為什么微軟對(duì)和英特爾代工合作感到興奮,計(jì)劃采用Intel 18A制程節(jié)點(diǎn)生產(chǎn)一款我們?cè)O(shè)計(jì)的芯片?!?/p>

微軟是典型的軟件公司,像微軟一樣想要設(shè)計(jì)AI芯片的軟件和AI公司越來(lái)越多,對(duì)于這些公司,自主設(shè)計(jì)的芯片最重要的目的是提升其主營(yíng)業(yè)務(wù)的競(jìng)爭(zhēng)力,理想情況是以最低成本設(shè)計(jì)出最適合的芯片,英特爾的系統(tǒng)級(jí)代工能夠降低這些公司設(shè)計(jì)芯片的門(mén)檻。

基辛格也說(shuō),“我們希望承接一些芯片設(shè)計(jì)業(yè)務(wù),這意義重大,因?yàn)楹芏嗫蛻粼谙蛭覀兂兄Z下單較大產(chǎn)能之前,會(huì)先讓我們幫助做芯片設(shè)計(jì),這些相對(duì)合同金額較小的芯片設(shè)計(jì)業(yè)務(wù),將帶來(lái)更大的代工業(yè)務(wù)承諾?!?/p>

英特爾的產(chǎn)品也會(huì)成為英特爾代工客戶的參考。比如英特爾至強(qiáng)團(tuán)隊(duì)使用EMIB和混合鍵合(Foveros Direct)技術(shù)解決了Clearwater Forest的發(fā)熱問(wèn)題,如果有客戶想要使用這一技術(shù),英特爾將會(huì)為客戶提供定制的產(chǎn)品和服務(wù)。

“在發(fā)展英特爾代工業(yè)務(wù)的過(guò)程中,由大型AI芯片推動(dòng),先進(jìn)封裝成為了我們快速入局競(jìng)爭(zhēng)的路徑。我們?cè)谂c行業(yè)內(nèi)的各家企業(yè)合作,其中許多已經(jīng)簽約。英特爾在先進(jìn)封裝領(lǐng)域的獨(dú)特優(yōu)勢(shì),現(xiàn)在成為了我們整個(gè)代工業(yè)務(wù)的巨大助推器。”基辛格表示。

AI芯片的增長(zhǎng)量是英特爾代工的未來(lái),當(dāng)然,由于出貨量巨大,Arm芯片也是英特爾代工必須爭(zhēng)取的客戶群體。

英特爾為此推出了“新興企業(yè)支持計(jì)劃”(Emerging Business Initiative),這一計(jì)劃將與Arm合作,為基于Arm架構(gòu)的系統(tǒng)級(jí)芯片(SoCs)提供先進(jìn)的代工服務(wù),支持初創(chuàng)企業(yè)開(kāi)發(fā)基于Arm架構(gòu)的技術(shù),并提供必要IP、制造支持和資金援助,為Arm和英特爾提供了促進(jìn)創(chuàng)新和發(fā)展的重要機(jī)會(huì)。

目前,英特爾代工在各代制程節(jié)點(diǎn)(包括Intel 18A、Intel 16和Intel 3)及Intel Foundry ASAT(包括先進(jìn)封裝)上均已擁有大量客戶設(shè)計(jì)案例。在晶圓制造和先進(jìn)封裝領(lǐng)域,英特爾代工的預(yù)期交易價(jià)值(lifetime deal value)超過(guò)150億美元。

需要注意的是,即使在2030年,英特爾代工的大部分晶圓產(chǎn)能仍然將用于英特爾的產(chǎn)品。

“我們可以用英特爾自己大量的收入和產(chǎn)品,承諾推動(dòng)Intel 18A和Intel 14A以及接下來(lái)的制程節(jié)點(diǎn)的質(zhì)量,這將減輕所有后續(xù)客戶的投產(chǎn)風(fēng)險(xiǎn)。”基辛格稱,“Panther Lake和Clearwater Forest已經(jīng)計(jì)劃使用Intel 18A,這將占據(jù)未來(lái)英特爾客戶端和服務(wù)器收入的很大一部分,對(duì)此我已經(jīng)做出了承諾。”

對(duì)于英特爾代工的客戶來(lái)說(shuō),能夠獲得先進(jìn)封裝、優(yōu)質(zhì)的晶圓以及優(yōu)惠的價(jià)格,意味著一個(gè)有韌性、可持續(xù)、值得信賴的供應(yīng)鏈。

英特爾代工和客戶可以實(shí)現(xiàn)雙贏。 

英特爾代工的成功一定是生態(tài)的繁榮

英特爾代工追求的是多贏的局面,也就是與整個(gè)生態(tài)系統(tǒng)的合作共贏,因?yàn)橹圃焓且粋€(gè)生態(tài)系統(tǒng)。

這里的生態(tài)系統(tǒng)包含IP(知識(shí)產(chǎn)權(quán))和EDA(電子設(shè)計(jì)自動(dòng)化)合作伙伴Synopsys、Cadence、Siemens、Ansys、Lorentz和Keysight,也包含材料、設(shè)備、封裝和測(cè)試的供應(yīng)商。

好消息是,英特爾代工的IP和EDA合作伙伴均表示,工具和IP已準(zhǔn)備就緒,可幫助代工客戶加速基于業(yè)界首推背面供電方案的Intel 18A制程節(jié)點(diǎn)的先進(jìn)芯片設(shè)計(jì)。并且其EDA和IP已經(jīng)在英特爾各制程節(jié)點(diǎn)上啟用。

針對(duì)英特爾EMIB 2.5D封裝技術(shù),幾家供應(yīng)商還宣布計(jì)劃合作開(kāi)發(fā)組裝技術(shù)和設(shè)計(jì)流程。這些EDA解決方案將確保英特爾能夠更快地為客戶開(kāi)發(fā)、交付先進(jìn)封裝解決方案。

英特爾在制造方面擁有豐富的經(jīng)驗(yàn),如今在新的戰(zhàn)略下擴(kuò)大與制造領(lǐng)域生態(tài)合作伙伴的合作,對(duì)于所有合作伙伴而言都是好消息。

在競(jìng)爭(zhēng)異常激烈的成熟制程節(jié)點(diǎn)上,英特爾與其它代工廠充分合作,今年1月份宣布與UMC聯(lián)合開(kāi)發(fā)的全新12納米節(jié)點(diǎn)。不斷提升英特爾代工的競(jìng)爭(zhēng)力。

只有生態(tài)的不斷繁榮,并且保持技術(shù)的領(lǐng)先性,英特爾才能在2030年實(shí)現(xiàn)全球第二大代工廠的目標(biāo)。

可持續(xù)性也很關(guān)鍵。Intel Foundry Direct Connect大會(huì)上,英特爾重申了其承諾,即在2030年達(dá)成100%使用可再生電力,水資源正效益和零垃圾填埋。此外,英特爾還再次強(qiáng)調(diào)了其在2040年實(shí)現(xiàn)范圍1和范圍2溫室氣體(GHG)凈零排放,2050年實(shí)現(xiàn)范圍3溫室氣體凈零上游排放的承諾。

]]>
晶圓代工 http://m.ozgbdpf.cn/category/manufacturing/YKgL0fIOUxjlyszE.html#comments Mon, 26 Feb 2024 19:05:00 +0800
網(wǎng)傳中芯國(guó)際12英寸圓晶軟件項(xiàng)目暫停,技術(shù)承包方否認(rèn) http://m.ozgbdpf.cn/category/manufacturing/EEfrr0sGWP5nt8Qo.html 8月8日消息,據(jù)新浪科技報(bào)道,中芯國(guó)際與上揚(yáng)軟件合作,計(jì)劃在北京新建的12英寸晶圓廠CIM國(guó)產(chǎn)化項(xiàng)目于近期宣布暫停。該報(bào)道稱有知情人士透露,之所以暫停該項(xiàng)目是因?yàn)榻?jīng)過(guò)評(píng)估,技術(shù)承包方上揚(yáng)軟件無(wú)法完成中芯國(guó)際的半導(dǎo)體CIM軟件國(guó)產(chǎn)化需求。

該項(xiàng)目已經(jīng)推進(jìn)了近一年時(shí)間。上揚(yáng)軟件為該項(xiàng)目成立了研發(fā)中心,并投入了上百人。

新浪科技報(bào)道稱目前承接該項(xiàng)目的上百名上揚(yáng)軟件工作人員已經(jīng)離開(kāi)中芯國(guó)際位于北京的辦公地點(diǎn),多位負(fù)責(zé)該項(xiàng)目研發(fā)的高級(jí)技術(shù)人員已經(jīng)開(kāi)始找新工作。

8月8日下午,上揚(yáng)科技回應(yīng)稱中芯國(guó)際北京項(xiàng)目并未暫停,上揚(yáng)團(tuán)隊(duì)仍在為其進(jìn)行軟件開(kāi)發(fā)。相關(guān)人員辦公地點(diǎn)調(diào)整是由于疫情反復(fù)而進(jìn)行的調(diào)整。上揚(yáng)科技還表示,目前項(xiàng)目負(fù)責(zé)人及團(tuán)隊(duì)穩(wěn)定,相關(guān)項(xiàng)目人員并未出現(xiàn)離職現(xiàn)象,依然在堅(jiān)守崗位。

一位接近上揚(yáng)軟件的業(yè)內(nèi)人士告訴雷峰網(wǎng),中芯國(guó)際北京項(xiàng)目只是延期,沒(méi)有暫停,團(tuán)隊(duì)遠(yuǎn)程辦公,內(nèi)部開(kāi)發(fā)沒(méi)有解散。

截止發(fā)稿,中芯國(guó)際尚未就此事作出回應(yīng)。

本次“項(xiàng)目停擺”事件的具體情形依然撲朔,這不禁讓人好奇處于本次事件旋渦中芯的CIM軟件,是何方神圣?

和EDA軟件一樣,CIM軟件也是是芯片生產(chǎn)過(guò)程中不可缺少的一環(huán)。EDA軟件在芯片設(shè)計(jì)過(guò)程中發(fā)揮作用,CIM軟件則是在晶圓制造的過(guò)程中發(fā)揮作用。

具體來(lái)講,CIM軟件指的是計(jì)算機(jī)集成制造系統(tǒng),包含了晶圓制造中多個(gè)領(lǐng)域,被稱為半導(dǎo)體晶圓制造及先進(jìn)封測(cè)工廠內(nèi)部的“生命級(jí)”軟件系統(tǒng)。其中,制造執(zhí)行系統(tǒng)(MES)是最重要的一環(huán),它在晶圓制造的過(guò)程中,扮演了“指揮官”的角色,完成晶圓在不同設(shè)備間的調(diào)度。

如果MES軟件停擺,整個(gè)晶圓制造廠都會(huì)陷入癱瘓。

此前,上揚(yáng)科技曾經(jīng)與中芯國(guó)際在8英寸芯片生產(chǎn)線CIM系統(tǒng)開(kāi)發(fā)上有過(guò)成功的合作案例,而這次想實(shí)現(xiàn)12英寸晶圓的國(guó)產(chǎn)化,則是為了強(qiáng)化更先進(jìn)制程工藝的技術(shù)自主。

更大的晶圓片代表著更高的制造效率,也能夠滿足更先進(jìn)制程芯片的制造要求:一塊8英寸晶圓能夠產(chǎn)出88塊芯片,而一塊12英寸晶圓則能夠產(chǎn)出232塊芯片,且每塊芯片成本更低。目前生產(chǎn)存儲(chǔ)、GPU\CPU等高端邏輯芯片的工藝也以12英寸為主。

而晶圓尺寸越大,自動(dòng)化程度就越高,對(duì)CIM軟件的需求就越高。4-6英寸的晶圓主要靠人力來(lái)實(shí)現(xiàn)設(shè)備之間流轉(zhuǎn),8英寸則是人力與自動(dòng)化相結(jié)合,而12英寸則要求全自動(dòng)化。

同EDA軟件一樣,國(guó)產(chǎn)芯片產(chǎn)業(yè)在先進(jìn)CIM軟件的市場(chǎng)也幾乎被國(guó)外巨頭所壟斷,深受“卡脖子”之害。

這次被網(wǎng)傳暫停的上揚(yáng)軟件和中芯國(guó)際合作的12英寸晶圓廠CIM國(guó)產(chǎn)化項(xiàng)目,就是為了打破這種壟斷而設(shè)立。

作為中國(guó)大陸地區(qū)最大的晶圓廠,中芯國(guó)際是先進(jìn)工藝的積極探索者。

2021年,中芯國(guó)際猛砸千億,計(jì)劃建設(shè)3座12英寸晶圓廠。隨著中芯國(guó)際在先進(jìn)工藝上不斷探索,更大尺寸晶圓的CIM軟件系統(tǒng)也成為必不可少的一環(huán)。而由于美國(guó)政府的打壓,中芯國(guó)際使用國(guó)外的設(shè)備和軟件處處受限,處于技術(shù)可控的考慮,開(kāi)啟了對(duì)CIM軟件本土化的研究。

有業(yè)內(nèi)人士指出,國(guó)內(nèi)的工業(yè)軟件剛剛起步,發(fā)展確實(shí)艱難,但國(guó)產(chǎn)軟件依然未來(lái)可期。

]]>
晶圓代工 http://m.ozgbdpf.cn/category/manufacturing/EEfrr0sGWP5nt8Qo.html#comments Mon, 08 Aug 2022 17:43:00 +0800
美國(guó)加碼對(duì)華出口禁令:斷供14nm芯片制造設(shè)備 http://m.ozgbdpf.cn/category/manufacturing/3CzQxcASfUcIfX9W.html 據(jù)彭博社報(bào)道,美國(guó)正在收緊對(duì)中國(guó)獲得先進(jìn)芯片制造設(shè)備的限制,將向中國(guó)禁售技術(shù)的限制提升至14nm。雷峰網(wǎng)

此前美國(guó)已經(jīng)禁止在沒(méi)有許可證的情況下向中芯國(guó)際出售大多數(shù)可以制造10nm以下先進(jìn)制程芯片的設(shè)備。

美國(guó)芯片制造設(shè)備供應(yīng)商泛林半導(dǎo)體首席執(zhí)行官Tim Archer告訴分析師,他們已經(jīng)收到美國(guó)商務(wù)部通知,出口中國(guó)禁令覆蓋的制程范圍已由最大10nm擴(kuò)大到了最大14nm。

Archer補(bǔ)充道,據(jù)他所知,新要求中的限制不包括存儲(chǔ)芯片。目前泛林半導(dǎo)體已經(jīng)在第三季度的業(yè)績(jī)展望中考慮這一新限制可能造成的影響。

而同為美國(guó)半導(dǎo)體設(shè)備供應(yīng)商的科磊也收到了商務(wù)部的通知??评谑紫瘓?zhí)行官Rick Wallace周四證實(shí),他們也收到了政府關(guān)于對(duì)向中國(guó)出口設(shè)備許可相關(guān)變化的通知,同時(shí)他表示,這對(duì)KLA的業(yè)務(wù)沒(méi)有重大影響。

據(jù)知情人士透露,在過(guò)去兩周左右的時(shí)間里,所有美國(guó)設(shè)備制造商都收到了來(lái)自商務(wù)部的信函,要求他們不要向中國(guó)供應(yīng)可用于14nm以下先進(jìn)制程芯片制造的設(shè)備。

但制造商們表示,這些信件從某種程度上來(lái)說(shuō)是在展示拜登政府的強(qiáng)硬態(tài)度,而對(duì)實(shí)際交易情況影響不大。因?yàn)榇饲懊绹?guó)商務(wù)部已經(jīng)拒絕了許多14nm設(shè)備出口許可證的申請(qǐng)。

值得注意的是,此次美國(guó)宣布斷供的范圍也從中芯國(guó)際擴(kuò)大到在中國(guó)運(yùn)營(yíng)的芯片制造商,包括臺(tái)積電在大陸地區(qū)的制造廠。

據(jù)分析,雖然新的限制僅涵蓋制造先進(jìn)芯片的設(shè)備,但成熟制程芯片的制造也可能受到影響。因?yàn)榫懦梢陨系脑O(shè)備都是向下兼容的,目前成熟制程芯片的制造中可能使用了這些被列入出口禁令的設(shè)備。

目前中芯國(guó)際的最先進(jìn)產(chǎn)能為14nm,臺(tái)積電在中國(guó)大陸地區(qū)的最先進(jìn)產(chǎn)能為16nm,新的出口限制可能會(huì)對(duì)他們的產(chǎn)能造成影響。

有分析指出,此舉可能是為了配合近期即將由美國(guó)總統(tǒng)拜登簽署的“芯片與科學(xué)法案”。

美國(guó)的參、眾兩院近日投票通過(guò)了該法案。該法案一經(jīng)推出就爭(zhēng)議不斷,經(jīng)過(guò)共和、民主兩黨長(zhǎng)達(dá)三年的拉扯終于在近日通過(guò),目前尚待美國(guó)總統(tǒng)拜登簽署。如果該法案通過(guò),美國(guó)政府將提供520億美元的補(bǔ)貼來(lái)激勵(lì)美國(guó)本土半導(dǎo)體的制造和研究。

但由于美國(guó)總統(tǒng)拜登近日新冠病毒檢測(cè)又呈陽(yáng)性,再次進(jìn)入隔離狀態(tài),該法案的簽署時(shí)間可能延期。

在對(duì)自己本土的企業(yè)祭出“胡蘿卜加大棒”的組合拳之外,美國(guó)政府還在積極游說(shuō)其他國(guó)家,希望他們跟隨美國(guó)腳步,禁止對(duì)中國(guó)出口芯片制造相關(guān)設(shè)備。

據(jù)彭博社此前報(bào)道,美國(guó)正在勸說(shuō)日本、荷蘭等國(guó)家禁止ASML、尼康等相關(guān)企業(yè)向中國(guó)出售芯片制造相關(guān)的主流技術(shù)。

其中最為關(guān)鍵的是荷蘭ASML公司生產(chǎn)的光刻機(jī)。此前美國(guó)政府已經(jīng)設(shè)法禁止ASML公司向中國(guó)出售用于當(dāng)前先進(jìn)制程的EUV光刻機(jī),目前美國(guó)則想更進(jìn)一步,使中國(guó)無(wú)法獲得ASML公司生產(chǎn)的DUV光刻機(jī)。

但目前美國(guó)的游說(shuō)沒(méi)有獲得實(shí)質(zhì)性的進(jìn)展。對(duì)于美國(guó)每次將向中國(guó)提供芯片制造設(shè)備的限制從10nm提高到14nm的通知,ASML公司表示并未收到消息,尼康則表示該規(guī)定對(duì)其中國(guó)業(yè)務(wù)沒(méi)有影響。

]]>
晶圓代工 http://m.ozgbdpf.cn/category/manufacturing/3CzQxcASfUcIfX9W.html#comments Mon, 01 Aug 2022 11:38:00 +0800
英特爾為聯(lián)發(fā)科代工芯片,采用Intel16,18個(gè)月后出貨 http://m.ozgbdpf.cn/category/manufacturing/w895PjALdVsIUtnU.html 7月25日,英特爾和聯(lián)發(fā)科宣布建立了戰(zhàn)略合作伙伴關(guān)系。聯(lián)發(fā)科宣布將使用英特爾代工服務(wù)(IFS)生產(chǎn)一系列智能邊緣設(shè)備芯片。雷峰網(wǎng)

聯(lián)發(fā)科是2021年全球第四大芯片設(shè)計(jì)廠商,每年生產(chǎn)超過(guò)20億芯片,臺(tái)積電承擔(dān)了其大部分芯片代工訂單。

英特爾代工業(yè)務(wù)由英特爾現(xiàn)任CEO帕特.基辛格于2021年3月推出。帕特表示,該業(yè)務(wù)旨在重振英特爾市場(chǎng)地位,并提升英特爾在全球芯片制造領(lǐng)域的影響力。

在2022年的英特爾投資大會(huì)上,帕特雄心勃勃的提出在未來(lái)的四年中英特爾將跨過(guò)五個(gè)制程節(jié)點(diǎn),并為已經(jīng)逐漸式微的摩爾定律“續(xù)命”的藍(lán)圖。

根據(jù)規(guī)劃,英特爾將在2022年下半年投產(chǎn)使用EUV技術(shù)制造的Intel4工藝芯片,在2023年的下半年投產(chǎn)更為先進(jìn)的Intel3工藝芯片,并在2024年全面進(jìn)入“埃米時(shí)代”,推出基于全新晶體管架構(gòu)RibbonFET的Intel10A和Intel18A工藝芯片。

雖然有著宏偉的藍(lán)圖,但迄今為止,英特爾的代工之路走的并不算順利。

為了發(fā)展IFS業(yè)務(wù),目前英特爾已經(jīng)投入了超過(guò)200億美元,但英特爾代工業(yè)務(wù)今年第一季度僅帶來(lái)了2.83億美元的營(yíng)收,業(yè)務(wù)還處于擴(kuò)展期,與芯片代工業(yè)務(wù)同行臺(tái)積電、三星在規(guī)模上尚有差距。

如果該筆來(lái)自聯(lián)發(fā)科的訂單成功落地,將是英特爾IFS業(yè)務(wù)開(kāi)展以來(lái)所取得的最大突破之一。

英特爾代工服務(wù)總裁 Randhir Thakur 稱:“聯(lián)發(fā)科作為世界領(lǐng)先的芯片設(shè)計(jì)公司之一,將幫助英特爾代工服務(wù)進(jìn)入下一個(gè)快速增長(zhǎng)階段。同時(shí),英特爾代工服務(wù)的先進(jìn)工藝和大量產(chǎn)能,將幫助聯(lián)發(fā)科產(chǎn)出更多芯片”。

聯(lián)發(fā)科平臺(tái)技術(shù)與制造運(yùn)營(yíng)部企業(yè)高級(jí)副總裁NS Tsai表示,聯(lián)發(fā)科將與英特爾建立長(zhǎng)期合作關(guān)系,利用英特爾代工服務(wù)提升供應(yīng)鏈的多元性。

據(jù)悉,聯(lián)發(fā)科產(chǎn)品將使用“Intel 16”技術(shù)節(jié)點(diǎn)。Intel 16工藝是英特爾2018年開(kāi)始出貨的22FFL工藝的改進(jìn)版本。在Intel 16工藝中,英特爾對(duì)22FFL技術(shù)進(jìn)一步改造,并增加了對(duì)第三方芯片設(shè)計(jì)工具的支持。

英特爾表示該批訂單將在未來(lái)18個(gè)月至24個(gè)月內(nèi)出貨,但目前為止還不知道英特爾將承擔(dān)多少來(lái)自聯(lián)發(fā)科的訂單,也尚不清楚英特爾將在哪里制造這些芯片。

在接受外媒采訪時(shí),英特爾表示:“我們無(wú)法透露客戶產(chǎn)品中的細(xì)節(jié),但I(xiàn)FS用戶都可以通過(guò)俄勒岡州、亞利桑那州、愛(ài)爾蘭、以色列以及未來(lái)將在俄亥俄州和德國(guó)建立的工廠組成的全球產(chǎn)能網(wǎng)絡(luò)生產(chǎn)芯片?!?/p>]]> 晶圓代工 http://m.ozgbdpf.cn/category/manufacturing/w895PjALdVsIUtnU.html#comments Mon, 25 Jul 2022 19:59:00 +0800 三星「心焦」、臺(tái)積電「頭疼」的4nm「良率泥潭」 http://m.ozgbdpf.cn/category/manufacturing/xnNmI7npsQkw5Hiv.html 近年來(lái)隨著人們對(duì)更先進(jìn)性能的要求,先進(jìn)制程成為了各大芯片制造廠商“軍備競(jìng)賽”的主要戰(zhàn)場(chǎng)。據(jù)悉,臺(tái)積電延誤已久的3nm制程工藝已于近期取得了重大突破,臺(tái)積電或?qū)⒛陜?nèi)率先完成第二版3nm制程的量產(chǎn),并將其命名為“N3B”。

而基辛格出任CEO后一直力推代工業(yè)務(wù)的英特爾,也于近日宣布了其“埃米級(jí)”18A制程芯片將在2024年提前落地的消息。

在各芯片制造廠商向著更先進(jìn)制程工藝前進(jìn)的同時(shí),制造的良率卻成了廠商們的一塊心病。曾經(jīng)在2021年拿下了高通公司新SoC驍龍8G1訂單的三星,在今年2月底就被爆出試產(chǎn)階段芯片良率造假丑聞,部分5nm以下制程的芯片良率甚至只有35%左右。

高通翻車,三星“接鍋”

高通近年來(lái)在手機(jī)SoC業(yè)務(wù)上陷入了停滯不前的窘境,一方面是因?yàn)椴捎昧顺蠛思軜?gòu)的驍龍888、驍龍8Gen1兩代芯片功耗“爆炸”,發(fā)熱量居高不下導(dǎo)致用戶體驗(yàn)不好。

另一方面則是由于產(chǎn)品良率過(guò)低導(dǎo)致成本上漲。根據(jù)外媒估算,一顆驍龍888芯片的成本已經(jīng)超過(guò)了100美元,而驍龍8gGen1則成本更高。此前采用7nm工藝的驍龍865成本僅為81美元。

在丑聞爆出后,三星電子管理部門(mén)就5nm芯片工藝是否屬實(shí)一事開(kāi)啟對(duì)DS部門(mén)的檢查。比起名譽(yù)上的損失,更令三星“肉疼”的是失去了高通這個(gè)大客戶。

據(jù)報(bào)道,因?yàn)槿请娮拥拇ち悸蔬^(guò)低,高通公司已經(jīng)決定將驍龍8Gen1的后續(xù)訂單轉(zhuǎn)交給臺(tái)積電。并且在之后將3nm制程的新一代SoC的代工業(yè)務(wù)全部交給臺(tái)積電。

事實(shí)上,良率對(duì)于芯片制造廠商來(lái)說(shuō)幾乎與先進(jìn)制程同樣重要。此前半導(dǎo)體材料廠商Entegris(應(yīng)特格)執(zhí)行副總裁及首席運(yùn)營(yíng)官Todd Edlund曾在接受媒體采訪時(shí)表示,對(duì)于3D NAND晶圓廠而言,1%的良率提高可能意味著每年1.1億美元的凈利潤(rùn);而對(duì)于尖端的邏輯晶圓廠而言,1%的良率提升意味著1.5億美元的凈利潤(rùn)。

而在摩爾定律即將被“榨干”的今天,先進(jìn)制程的良率對(duì)于芯片制造廠商而言,正在變得越來(lái)越重要。

過(guò)孔缺失和隨機(jī)缺陷:EUV的大麻煩

荷蘭ASML公司的光刻機(jī)是先進(jìn)制程芯片制造過(guò)程中不可或缺的一環(huán)。主流的光刻機(jī)技術(shù)分為DUV和EUV,只有EUV技術(shù)能夠滿足10nm以下的制程工藝。

使用EUV光刻機(jī)進(jìn)行圓晶刻蝕的過(guò)程中,可能會(huì)出現(xiàn)隨機(jī)缺陷,處理隨機(jī)缺陷已經(jīng)成為了廠商們提高先進(jìn)制程良率的核心挑戰(zhàn)。

總的來(lái)說(shuō),隨機(jī)缺陷被分為四類:線邊緣和線寬粗糙;CD均勻性誤差;疊加錯(cuò)誤以及邊緣短路或開(kāi)路。

“這些因素都會(huì)影響設(shè)備的性能、良率和可靠性” Fractilia 的 Mack 說(shuō)。

在缺陷檢查中,光學(xué)檢測(cè)工具與掃描電子顯微鏡(SEM)往往共同工作,以在線檢查可能存在的缺陷并將其分類。但SEM成像結(jié)果包含了實(shí)際粗糙度的同時(shí)也包含了由于SEM噪聲引起的粗糙度。傳統(tǒng)圖像處理過(guò)濾器會(huì)顯示平均粗糙度而不是實(shí)際粗糙度。

Mack解釋道:“舉例來(lái)講,在圓晶上可能會(huì)測(cè)到4.3nm的粗糙度,但還需要減去計(jì)量噪聲,最后會(huì)得到1.3nm的實(shí)際粗糙度。”

 Fractilia開(kāi)發(fā)了在頻域中運(yùn)行的檢測(cè)工具,使用功率譜密度來(lái)查看粗糙度。借助這一工具,檢測(cè)者可以通過(guò)測(cè)得的粗糙度對(duì)晶圓模型進(jìn)行反向建模,然后通過(guò)分析查找每一處隨機(jī)缺陷。并且該工具還為工程師提供了一種優(yōu)化SEM使用的方法,使來(lái)自不同供應(yīng)商的工具得以匹配。

在高級(jí)邏輯芯片上,從幾百萬(wàn)個(gè)到幾十億個(gè)過(guò)孔中準(zhǔn)確找到丟失的過(guò)孔或觸點(diǎn)對(duì)良率工程師來(lái)說(shuō)也是一項(xiàng)重大挑戰(zhàn)。近年來(lái),光學(xué)檢測(cè)工具的供應(yīng)商大幅更新了他們的工具和軟件,以檢測(cè)越來(lái)越多且越來(lái)越小的缺陷。

并且隨著人工智能加入到軟件中,這些缺陷得以被更好的標(biāo)識(shí)出來(lái)。

對(duì)于這樣龐雜的電路中可能出現(xiàn)的缺陷,最麻煩的就是工程師無(wú)法確定哪些區(qū)域需要關(guān)注。目前對(duì)于重點(diǎn)區(qū)域的確定,有兩種方法:第一種是通過(guò)吸收歷史經(jīng)驗(yàn),將此前高頻出現(xiàn)缺陷的為止標(biāo)記為重點(diǎn)區(qū)域。第二種方法則是從IC設(shè)計(jì)文件中找到可能的薄弱位置,然后軟件將會(huì)獲取所有區(qū)域并自動(dòng)生成重點(diǎn)關(guān)注區(qū)域。

例如,KLA和IBM Reserch的工程師最近開(kāi)發(fā)了一種基于充分陣列的分箱技術(shù)。該技術(shù)通過(guò)缺陷檢測(cè)將缺陷與晶圓位置相關(guān)聯(lián)。通過(guò)這一技術(shù),工程師發(fā)現(xiàn)了此前的工具沒(méi)有標(biāo)記的通孔,并通過(guò)追溯晶圓上的特定區(qū)域,找到了RIE步驟存在的問(wèn)題。

在這項(xiàng)研究中,IBM和KLA的工程師合作開(kāi)發(fā)了一種用于捕獲BEOL邏輯器件中缺失過(guò)孔的方法。工程師們使用KLA的檢測(cè)方法在RIE的通孔鏈圖案上的每個(gè)通孔周圍定義需要關(guān)注的區(qū)域,以提高對(duì)丟失通孔缺陷的捕獲靈敏度。

然后使用寬帶等離子(BBP)光學(xué)工具檢查這些關(guān)注區(qū)域,最后在SEM審查工具上對(duì)缺陷進(jìn)行表征。該工具會(huì)按照類型對(duì)缺陷進(jìn)行分類。

根據(jù)結(jié)果顯示,通路鏈左側(cè)在頂部出現(xiàn)缺失,但右側(cè)缺失的通路則與底側(cè)相關(guān)。該團(tuán)隊(duì)因此懷疑缺失通孔缺陷是由于先前的通孔蝕刻圖案未對(duì)準(zhǔn)而被阻塞造成的。

不過(guò),采用傳統(tǒng)檢測(cè)方法并沒(méi)有發(fā)現(xiàn)這一存在于底部的缺陷,這意味著該策略可以更有效地檢測(cè)生產(chǎn)中缺失過(guò)孔的缺陷。

“BPP系統(tǒng)的檢查結(jié)果包括了分箱信息,這為工藝工程師提供了更多可操作數(shù)據(jù),以便他們做出最佳的決策?!盞urada總結(jié)到。

AFM或?qū)⒊蔀榫刃?/p>

雖然在過(guò)去在光學(xué)檢測(cè)系統(tǒng)和SEM的配合下芯片制造的良率得到了較好的控制,但是在芯片先進(jìn)制程工藝越來(lái)越接近摩爾定律極限的背景下,需要更先進(jìn)的技術(shù)來(lái)滿足良率控制的要求。

布魯克運(yùn)營(yíng)總監(jiān) Igor Schmidt 表示在當(dāng)芯片制程達(dá)到20nm以下后隨機(jī)缺陷將會(huì)變得越來(lái)越難以分類。而在檢測(cè)CMP后的凹陷和腐蝕等需要拓?fù)鋽?shù)據(jù)的地方,AFM變得尤其重要。

Igor Schmidt指出,雖然AFM(原子力顯微鏡)吞吐量比較低,但每小時(shí)仍然可以監(jiān)控高達(dá)340個(gè)為止,以進(jìn)行光刻、蝕刻或CMP工藝的工藝控制。

原子力顯微鏡(AFM)審查工具可以利用機(jī)器視覺(jué)坐標(biāo),將從光學(xué)系統(tǒng)中獲取的圓晶圖數(shù)據(jù)指向可能出現(xiàn)缺陷的位置并對(duì)周圍區(qū)域進(jìn)行成像。

成像的結(jié)果會(huì)顯示該區(qū)域包括高度信息和粘性在內(nèi)的3D尺寸。

粘性數(shù)據(jù)將能夠更好地幫助檢測(cè)人員對(duì)缺陷進(jìn)行分類。就如同亂石堆和口香糖的表面都不平整,但代表的實(shí)際情況不同一樣。在芯片制造的缺陷檢測(cè)中,不同粘性的情況下的粗糙度可能指向不同的結(jié)果。

如果缺陷具有較大的高度差異和較大的粘性,表明是有機(jī)顆?;蚓酆衔锏袈湓诹藞A晶上。但如果在高度差異較大的情況下粘性較小,則說(shuō)明掉落在圓晶上的可能是硅顆?;蛘咚槠蝗绻霈F(xiàn)了孔隙卻沒(méi)有粘性,則表明可能是堆疊或者結(jié)晶缺陷;如果沒(méi)有發(fā)現(xiàn)顆粒卻具有粘性,則表明某處機(jī)器或者油存在問(wèn)題。

“因此,對(duì)于缺陷分類來(lái)說(shuō),這是一種強(qiáng)大的技術(shù)?!?Igor Schmidt說(shuō)。基于這一技術(shù),廠商將能夠在先進(jìn)制程上對(duì)芯片制造中的缺陷進(jìn)行更詳細(xì)、準(zhǔn)確的分類,從而提高產(chǎn)品的良率。

雷峰網(wǎng)

參考鏈接:https://semiengineering.com/strategies-for-faster-yield-ramps-on-5nm-chips/

]]>
晶圓代工 http://m.ozgbdpf.cn/category/manufacturing/xnNmI7npsQkw5Hiv.html#comments Wed, 13 Apr 2022 19:04:00 +0800
三星4nm工藝良率僅三成?驍龍“著火”元兇或?qū)⒄业?/title> <link>http://m.ozgbdpf.cn/category/manufacturing/ypcCSWUOFdCQsnpf.html</link> <description><![CDATA[<p>據(jù)韓國(guó)媒體INFOSTOCK DAILY報(bào)道,<strong>三星公司在先進(jìn)制程半導(dǎo)體代工業(yè)務(wù)上的良品率陷入了全面造假的丑聞,據(jù)該媒體報(bào)道,三星高管可能在試產(chǎn)階段捏造了其5nm以下工藝的芯片良率以抬高三星代工業(yè)務(wù)的競(jìng)爭(zhēng)力。</strong> </p><p>在此之前,有消息稱,三星半導(dǎo)體代工服務(wù)最大的客戶高通預(yù)計(jì)將明年即將推出的3nm制程的SoC代工訂單交由臺(tái)積電獨(dú)家完成 ,更早時(shí),三星已經(jīng)在和臺(tái)積電的競(jìng)爭(zhēng)中失敗,失去了英偉達(dá)7nm制程GPU的訂單。</p><p>而英偉達(dá)和高通是三星最大的兩個(gè)代工客戶,失去了兩家最大客戶的青睞標(biāo)志著三星在和臺(tái)積電的競(jìng)爭(zhēng)中已經(jīng)處于全面劣勢(shì)。</p><p style="text-align: center;"><img src="https://static.leiphone.com/uploads/new/images/20220228/621c8f9c6cb37.jpg?imageView2/2/w/740"/></p><p><strong><span style="font-size: 20px;">芯片良率被傳造假,三星對(duì)代工部門(mén)開(kāi)啟調(diào)查</span></strong></p><p>三星近期已經(jīng)啟動(dòng)對(duì)原本計(jì)劃擴(kuò)大產(chǎn)能和保證良率的大量資金下落的調(diào)查。該調(diào)查啟動(dòng)的原因是三星電子懷疑之前有關(guān)三星半導(dǎo)體代工廠的產(chǎn)量和良率報(bào)告存在造假行為。</p><p>據(jù)報(bào)道,<strong>三星電子DS部門(mén)正在接受管理部門(mén)就5nm芯片工藝的良率報(bào)告是否屬實(shí)的檢查</strong>。管理部門(mén)下一步還將對(duì)4nm和3nm制程工藝的芯片良率報(bào)告做檢查。</p><p>目前三星和臺(tái)積電在先進(jìn)制程上的競(jìng)爭(zhēng)正處于白熱化的階段。在去年三星拿下了高通器件處理器驍龍8 Gen1的獨(dú)家訂單,還計(jì)劃在2022年先于臺(tái)積電實(shí)現(xiàn)3nm制程芯片的量產(chǎn)。但如今,三星可能即將失去高通這個(gè)大客戶。</p><p>高通除了將明年的訂單全部交由三星以外,還宣布將今年已經(jīng)委托三星生產(chǎn)的4nm制程芯片驍龍8Gen1的一部分后續(xù)訂單交給臺(tái)積電生產(chǎn)。高通表示,這樣做的原因是因?yàn)槟壳叭堑墓に嚵悸孰y以達(dá)到高通的要求。</p><p>據(jù)業(yè)內(nèi)人士消息,三星生產(chǎn)的驍龍4nm制程芯片良率僅為35%。并且三星自研的4nm制程SoC獵戶座2200的良率更低。這意味著三星生產(chǎn)的芯片有近七成都是廢片,這不僅使得芯片成本居高不下。其工藝上的缺陷還導(dǎo)致了驍龍的芯片在功耗和性能上出現(xiàn)了問(wèn)題。</p><p style="text-align: center;"><img src="https://static.leiphone.com/uploads/new/images/20220228/621c8fe4b56b6.png?imageView2/2/w/740"/></p><p><strong><span style="font-size: 20px;">高通與三星的十年“相愛(ài)相殺”</span></strong></p><p>2020年,高通宣布將5nm處理器的訂單全部委托三星代工。當(dāng)時(shí)的高通正處于“一覽眾山小”的時(shí)期,是手機(jī)終端市場(chǎng)非蘋(píng)果陣營(yíng)中無(wú)可爭(zhēng)議的“老大哥”。2020年聯(lián)發(fā)科推出的高端產(chǎn)品天璣1000系列市場(chǎng)表現(xiàn)遇冷,對(duì)比之下,高通當(dāng)年的旗艦芯片驍龍865卻備受追捧。</p><p>實(shí)際上,在此之前高通和臺(tái)積電、三星再次之前都有過(guò)合作關(guān)系。高通公司推出的旗艦處理器中驍龍820、驍龍821、驍龍835、驍龍845等處理器都是由三星代工生產(chǎn),而驍龍855和驍龍865系列則是由臺(tái)積電代工生產(chǎn)。在這兩家代工廠生產(chǎn)的芯片中都有在當(dāng)年口碑銷量雙豐收的爆款,也時(shí)有不盡人意的情況。而高通之所以在2020年宣布將下一代芯片全部交由三星生產(chǎn),其中給一個(gè)重要原因就是高通對(duì)臺(tái)積電“蘋(píng)果優(yōu)先”政策的不滿。</p><p>臺(tái)積電的代工業(yè)務(wù)的最大客戶是蘋(píng)果,早在2016年,臺(tái)積電就成為了蘋(píng)果A系列芯片的代工廠商。自那之后,臺(tái)積電和蘋(píng)果一直保持著“共生”的狀態(tài):臺(tái)積電為蘋(píng)果提供穩(wěn)定的芯片產(chǎn)能和良率,蘋(píng)果則為臺(tái)積電提供源源不斷的訂單。</p><p>而由于給蘋(píng)果代工的業(yè)務(wù)不論是在利潤(rùn)上還是在公司戰(zhàn)略上都對(duì)臺(tái)積電更為重要,臺(tái)積電多年來(lái)一直奉行“蘋(píng)果優(yōu)先”的產(chǎn)能分配方案。但近年來(lái)受新冠疫情影響,全球供應(yīng)鏈?zhǔn)茏枰l(fā)缺芯浪潮。而臺(tái)積電在繼續(xù)奉行“蘋(píng)果優(yōu)先”政策的同時(shí),還在集中力量解決蘋(píng)果自研的Arm架構(gòu)芯片M1的代工問(wèn)題,這使得本就不充裕的產(chǎn)能更加捉襟見(jiàn)肘。</p><p>最終這導(dǎo)致了驍龍芯片產(chǎn)能長(zhǎng)時(shí)間的不足,引起了高通的不滿。</p><p><strong>彼時(shí)的高通認(rèn)為三星能夠提供價(jià)格更低,優(yōu)先級(jí)更高的代工服務(wù),于是高通和三星站到了一起。</strong>但接下來(lái)發(fā)生的事情也許超過(guò)了高通的預(yù)料。</p><p>由三星代工的驍龍888芯片的市場(chǎng)表現(xiàn)并未達(dá)到預(yù)期使得高通的2021年過(guò)的并不順利,三星的工藝缺陷帶來(lái)的功耗問(wèn)題甚至一度成為熱門(mén)話題,驍龍888芯片也由于其糟糕的發(fā)熱表現(xiàn)被戲稱為“火龍芯片”。</p><p style="text-align: center;"><img src="https://static.leiphone.com/uploads/new/images/20210526/60ae188cad26f.png?imageView2/2/w/740"/></p><p>在驍龍888“翻車”后,驍龍沒(méi)有立刻拋棄三星,而是選擇相信三星工藝進(jìn)步的能力。高通推出的新一代器件SoC驍龍8 Gen1的生產(chǎn)中仍然使用了來(lái)自三星的代工服務(wù)。</p><p>但三星的表現(xiàn)又一次讓高通失望:驍龍8 Gen1仍然沒(méi)有解決在前代工藝上飽受質(zhì)疑的功耗問(wèn)題,并且由于三星代工較低的良率,據(jù)業(yè)內(nèi)人士估算,一塊驍龍8 Gen1芯片的成本價(jià)格已經(jīng)接近一千人民幣。</p><p>同時(shí),高通公司的老對(duì)手聯(lián)發(fā)科在今年頗有向高通發(fā)起總攻之意,聯(lián)發(fā)科在高通今年發(fā)布了驍龍8 Gen1處理器后,高調(diào)發(fā)布了旗艦處理器天璣9000,并宣布將會(huì)使用臺(tái)積電4nm工藝代工。由于對(duì)消費(fèi)者對(duì)三星代工引發(fā)的發(fā)熱問(wèn)題不滿已久,加之天璣9000與前代相比的巨大提升,不少曾經(jīng)是高通忠實(shí)信徒的消費(fèi)者已經(jīng)在持幣觀望聯(lián)發(fā)科在今年的表現(xiàn)。這使得高通面臨著巨大的壓力和挑戰(zhàn),最終做出了拋棄三星,轉(zhuǎn)投臺(tái)積電懷抱的決定。</p><p><strong><span style="font-size: 20px;">英特爾“加速?zèng)_刺”,三星能否能夠突破合圍</span></strong></p><p>近年來(lái),芯片代工產(chǎn)業(yè)中的競(jìng)爭(zhēng)有愈演愈烈之勢(shì)。不僅是三星和臺(tái)積電這對(duì)從2010年?duì)帄Z蘋(píng)果A系列處理器代工權(quán)的老對(duì)手的“戰(zhàn)爭(zhēng)”到了決戰(zhàn)階段,越來(lái)越多的“新人”也選擇在這條賽道上踩下一腳油門(mén)。</p><p>英特爾自新任CEO Pat上任以來(lái),圍繞著其IDM2.0藍(lán)圖,大力發(fā)展代工業(yè)務(wù)IFS。在2月18日的英特爾投資人大會(huì)上,Pat信心滿滿的向英特爾的投資人介紹了公司未來(lái)在代工產(chǎn)業(yè)上的發(fā)展藍(lán)圖。根據(jù)規(guī)劃,英特爾將在未來(lái)四年時(shí)間內(nèi)走過(guò)五個(gè)制程節(jié)點(diǎn),最早在2022年實(shí)現(xiàn)Intel4制程,并最早在2024年量產(chǎn)埃米級(jí)芯片。如果這一藍(lán)圖成真將標(biāo)志著英特爾在未來(lái)幾年中徹底擺脫臺(tái)積電的擎肘,并在先進(jìn)制程的代工業(yè)務(wù)上和臺(tái)積電全面開(kāi)戰(zhàn)。</p><p>同時(shí),國(guó)內(nèi)的芯片代工產(chǎn)業(yè)也在這兩年蓬勃發(fā)展。國(guó)內(nèi)芯片代工龍頭企業(yè)中芯國(guó)際日前發(fā)布的2021年財(cái)報(bào)顯示,中芯國(guó)際在銷售額、營(yíng)收等方面數(shù)據(jù)均創(chuàng)歷史新高。同時(shí),中芯國(guó)際已經(jīng)擁有生產(chǎn)14nm芯片的能力,并向著更先進(jìn)制程邁進(jìn)。雖然國(guó)內(nèi)的芯片代工廠商目前還沒(méi)有在先進(jìn)制程工藝上和三星、臺(tái)積電這些老牌廠商較量的能力,但作為后期之秀,在未來(lái)是否有可能給這一市場(chǎng)格局帶來(lái)改變也猶未可知。</p><p>現(xiàn)代研究院(Hyundai Research Institute)顧問(wèn)崔陽(yáng)歐表示,“臺(tái)積電正在努力確保4nm/3nm半導(dǎo)體制造工藝的良率。<strong>三星電子正處于激烈的競(jìng)爭(zhēng)中,不確定性很高</strong>。”</p><p>在過(guò)去十年中,三星已經(jīng)接連丟掉了蘋(píng)果、英偉達(dá)、高通這些在芯片行業(yè)舉足輕重的大客戶的青睞。面對(duì)著行業(yè)越來(lái)越“卷”的現(xiàn)狀,三星腹背受敵,如今更是陷入“造假”丑聞。三星需要更快的做出改變,否則這個(gè)市場(chǎng)留給三星的時(shí)間和信任都要不多了。<span style="color: #FFFFFF;">雷峰網(wǎng)</span></p>]]></description> <category>晶圓代工</category> <comments>http://m.ozgbdpf.cn/category/manufacturing/ypcCSWUOFdCQsnpf.html#comments</comments> <pubDate>Mon, 28 Feb 2022 22:11:00 +0800</pubDate> </item> <item> <title>英特爾擬60億美元收購(gòu)高塔半導(dǎo)體,加強(qiáng)芯片代工能力 http://m.ozgbdpf.cn/category/manufacturing/ZWuRrNIgKdlqpO8S.html 據(jù)華爾街日?qǐng)?bào)2月15日消息,英特爾欲以近60億美元價(jià)格收購(gòu)高塔半導(dǎo)體。2月15日晚間,英特爾確認(rèn),根據(jù)協(xié)議將以每股53美元的現(xiàn)金收購(gòu)Tower半導(dǎo)體。相關(guān)人士指出,英特爾此次收購(gòu)的出發(fā)點(diǎn)是加強(qiáng)其芯片代工業(yè)務(wù)產(chǎn)能,以便為更多客戶提供芯片代工服務(wù)。 

知情人士透露,如果談判一切順利,該收購(gòu)案可能最早在本周通過(guò)。目前高塔半導(dǎo)體的市值約為36億美元,英特爾的收購(gòu)價(jià)格遠(yuǎn)遠(yuǎn)超出該公司的市值,這使得該交易包括了巨額溢價(jià)。在華爾街日?qǐng)?bào)披露了該交易后,高塔半導(dǎo)體股票周一在盤(pán)后交易中上漲了49%。在15日晚英特爾確認(rèn)收購(gòu)后,高塔半導(dǎo)體總企業(yè)價(jià)值約為54億美元。

本次將被英特爾收購(gòu)的高塔半導(dǎo)體是一家在美國(guó)納斯達(dá)克上市的以色列公司。該公司涉足汽車、消費(fèi)級(jí)電子產(chǎn)品、醫(yī)療和工業(yè)設(shè)備等領(lǐng)域,以色列,日本和美國(guó)擁有加工廠,其業(yè)務(wù)范圍與曾經(jīng)英特爾想收購(gòu)的格芯高度相似。如果該交易通過(guò),將有利于英特爾加強(qiáng)其芯片代工產(chǎn)業(yè)的產(chǎn)能。

自英特爾新任CEO Pat Gelsinger于2021年公布了英特爾IDM2.0發(fā)展規(guī)劃以來(lái),確定英特爾未來(lái)仍然會(huì)在芯片制造產(chǎn)業(yè)持續(xù)發(fā)力以來(lái),英特爾一直努力加強(qiáng)自身芯片代工能力以和臺(tái)積電等代工廠商競(jìng)爭(zhēng)。

去年7月曾一度傳出英特爾將收購(gòu)格芯的消息。如果該交易通過(guò),英特爾將得到格芯專有技術(shù)和更成熟的產(chǎn)能。雖然最終該交易沒(méi)有達(dá)成,但英特爾的決心和野心可見(jiàn)一斑。

而后在2022年一月英特爾披露了在俄亥俄州投資至少200億美元建立工廠增加公司圓晶制造能力的計(jì)劃,根據(jù)規(guī)劃該地未來(lái)十年會(huì)建立包括八家工廠的的生產(chǎn)基地,支出可能達(dá)到1000億美元左右,可以看出英特爾對(duì)自身芯片代工產(chǎn)業(yè)相當(dāng)重視。雷峰網(wǎng)雷峰網(wǎng)雷峰網(wǎng)

]]>
晶圓代工 http://m.ozgbdpf.cn/category/manufacturing/ZWuRrNIgKdlqpO8S.html#comments Tue, 15 Feb 2022 10:39:00 +0800
第四季財(cái)報(bào)多項(xiàng)數(shù)據(jù)創(chuàng)歷史新高,中芯國(guó)際高管這樣看 http://m.ozgbdpf.cn/category/manufacturing/0LsVrq0f8uEB0PWD.html 2022年2月10日,國(guó)內(nèi)芯片行業(yè)龍頭中芯國(guó)際公布了其2021年第四季度財(cái)報(bào)。財(cái)報(bào)顯示,第四季度中芯國(guó)際銷售額為15.8億美元,相較于 2021 年第三季度的14.1億美元同比增長(zhǎng) 11.6%。第四季度利潤(rùn)為5.52億美元,利潤(rùn)率達(dá)到了35%。全年銷售額達(dá)到54億美元,年增達(dá)到39%,均創(chuàng)歷史新高。

據(jù)中芯國(guó)際介紹,銷售額與利潤(rùn)率的增加主要?dú)w功于2021年第四季度其產(chǎn)品組合變動(dòng)和芯片市場(chǎng)持續(xù)向好。

從中芯國(guó)際營(yíng)收來(lái)看,其主營(yíng)業(yè)務(wù)圓晶代工帶來(lái)的收入占總收入的89.5%,該業(yè)務(wù)主要收入來(lái)自亞洲。技術(shù)上,中芯國(guó)際的高端代工業(yè)務(wù)所占比例穩(wěn)中有升。其運(yùn)用了FinFET工藝的28納米代工業(yè)務(wù)在其總業(yè)務(wù)中占比18.6%,與2020年第四季度占比5%相比較有較大提升。

基于這樣的情況,中芯國(guó)際對(duì)未來(lái)比較樂(lè)觀,提出了在2022年第一季度環(huán)比增長(zhǎng)15~17%,利潤(rùn)率達(dá)到36%~38%的目標(biāo)。

中芯國(guó)際管理層對(duì)2021年的成績(jī)?cè)u(píng)價(jià)道:“2021年是中芯發(fā)展歷程中極不平凡的一年?!睂?duì)于未來(lái)規(guī)劃,中芯國(guó)際管理層提出,未來(lái)中芯國(guó)際會(huì)持續(xù)推進(jìn)產(chǎn)能擴(kuò)大,推進(jìn)現(xiàn)有老廠的擴(kuò)建和三個(gè)新廠項(xiàng)目的落地。預(yù)計(jì)20222年的產(chǎn)能增量會(huì)高于今年。

在過(guò)去的一年里,缺芯在全球蔓延。中芯國(guó)際抓住了機(jī)遇搶占市場(chǎng)缺口,在疫情和實(shí)體清單的雙重限制下仍然取得了傲人的增長(zhǎng)。在全球前四大純晶圓代工廠中,中芯國(guó)際是近年來(lái)成長(zhǎng)的最快的一個(gè)。

從中芯國(guó)際2021年第四季度的財(cái)報(bào)可以看出,中芯國(guó)際的發(fā)展情況良好,在全球缺芯的情況下,成功搶占了中低端線客戶,獲得了快速發(fā)展的機(jī)會(huì)。同時(shí)也在高端產(chǎn)品上發(fā)力,具有高技術(shù)門(mén)檻的28nm產(chǎn)品線在營(yíng)業(yè)額中所占比例越來(lái)越大,在14nm等更高級(jí)產(chǎn)品線上,中芯國(guó)際也在加速追趕。

在未來(lái),中芯國(guó)際仍然要面臨嚴(yán)峻的挑戰(zhàn)。全球新冠疫情持續(xù)肆虐,使供應(yīng)鏈?zhǔn)艿接绊憽C绹?guó)實(shí)體清單限制使得中芯國(guó)際的貿(mào)易環(huán)境變得艱難。但挑戰(zhàn)中也蘊(yùn)藏著機(jī)遇,在未來(lái),中芯國(guó)際是否能夠在全球持續(xù)缺芯浪潮中找到機(jī)遇,實(shí)現(xiàn)逆襲,讓我們拭目以待。雷峰網(wǎng)雷峰網(wǎng)雷峰網(wǎng)


]]>
晶圓代工 http://m.ozgbdpf.cn/category/manufacturing/0LsVrq0f8uEB0PWD.html#comments Fri, 11 Feb 2022 19:22:00 +0800
臺(tái)積電公布最新技術(shù)進(jìn)展!3nm明年量產(chǎn),汽車、射頻芯片制程也升級(jí) http://m.ozgbdpf.cn/category/manufacturing/WvpN1sGDAxsDbNDc.html 本周,臺(tái)積電舉辦了2021年技術(shù)研討會(huì),分享其先進(jìn)邏輯技術(shù)、特殊技術(shù)、3DFabric先進(jìn)封裝與芯片堆疊等方面的最新進(jìn)展,由于疫情尚未平復(fù),臺(tái)積電依然沿用去年的線上模式舉辦這次論壇。

“數(shù)字化轉(zhuǎn)型為半導(dǎo)體行業(yè)開(kāi)辟了一個(gè)充滿機(jī)遇的新世界,我們的全球技術(shù)研討會(huì)強(qiáng)調(diào)了我們?cè)鰪?qiáng)和擴(kuò)展技術(shù)組合的許多方法,以釋放客戶的創(chuàng)新,”臺(tái)積電CEO魏哲家在大會(huì)上說(shuō)道。

5nm家族添新成員,解決汽車計(jì)算需求

臺(tái)積電將其領(lǐng)先的工藝節(jié)點(diǎn)分為三個(gè)產(chǎn)品家族:7nm、5nm和即將推出的3nn工藝節(jié)點(diǎn),正如許多人在過(guò)去幾年中注意到的那樣,臺(tái)積電自2018年推出7nm節(jié)點(diǎn)并實(shí)現(xiàn)大規(guī)模量產(chǎn)后,在芯片制造領(lǐng)域超越競(jìng)爭(zhēng)對(duì)手取得領(lǐng)先地位,到今天也還是如此。

迄今為止,臺(tái)積電7nm芯片出貨已超過(guò)10億顆,已經(jīng)被納入越來(lái)越成熟的工藝。且隨著許多客戶遷移到更先進(jìn)的工藝節(jié)點(diǎn),7nm產(chǎn)能增速放緩,預(yù)計(jì)2021年產(chǎn)能僅增加14%,與曾經(jīng)16nm工藝系列產(chǎn)能進(jìn)展類似。與之對(duì)應(yīng)的,目前代工廠主要專注于5nm和即將推出的3nm芯片產(chǎn)品。臺(tái)積電5nm工藝節(jié)點(diǎn)自2020年開(kāi)始量產(chǎn),為數(shù)以億計(jì)的SoC提供動(dòng)力,一方面越來(lái)越多的公司設(shè)計(jì)更多5nm產(chǎn)品,另一方面臺(tái)積電擁有全球大約50%的EUV半導(dǎo)體設(shè)備,因此臺(tái)積電5nm進(jìn)展十分順利,更是在此次技術(shù)研討會(huì)上又添新成員——N5A。

臺(tái)積電官方介紹,N5A工藝旨在應(yīng)對(duì)當(dāng)今對(duì)計(jì)算能力需求不斷增加的汽車應(yīng)用,例如支持AI輔助駕駛和座艙數(shù)字化,N5A將當(dāng)今超級(jí)計(jì)算機(jī)中所使用的技術(shù)引入汽車,在滿足AEC-Q100 2 級(jí)以及其他汽車安全和質(zhì)量標(biāo)準(zhǔn)的可靠性要求的同時(shí),滿足N5的性能、功率和邏輯密度。

由于有臺(tái)積電汽車設(shè)計(jì)平臺(tái)的支持,N5A計(jì)劃于2022年第三季度上市。

3nm明年量產(chǎn),5G射頻將升級(jí)到6nm

臺(tái)積電也透露了其4nm和3nm的最新進(jìn)展。采用與N5幾乎近相同設(shè)計(jì)法則的4nm加強(qiáng)版在性能、功耗和集體管密度上均進(jìn)一步提升,通過(guò)邏輯的光學(xué)微縮、標(biāo)準(zhǔn)單元庫(kù)的改進(jìn)和設(shè)計(jì)規(guī)則的推動(dòng),N4的晶體管密度較N5提升6%。臺(tái)積電還聲稱,N4自2020年技術(shù)研討會(huì)上宣布以來(lái)進(jìn)展順利,預(yù)計(jì)2021年第三季度風(fēng)險(xiǎn)量產(chǎn)。

3nm方面,依靠業(yè)經(jīng)驗(yàn)證的FinFET晶體管架構(gòu),得以實(shí)現(xiàn)最佳性能、功耗和成本效益,與N5相比,臺(tái)積電N3性能提升15%、功耗降低30%、邏輯密度增加70%,有望在2022年下半年開(kāi)始量產(chǎn),同時(shí)成為世界上最先進(jìn)的芯片制造技術(shù)。

擁有龐大市場(chǎng)的手機(jī)SoC制程的更新?lián)Q代已不足為奇,如今5nm已經(jīng)成為旗艦手機(jī)的標(biāo)配,隨著臺(tái)積電3nm開(kāi)始量產(chǎn),可以預(yù)測(cè)各家手機(jī)廠商的旗艦手機(jī)SoC也將更新至3nm。不過(guò)射頻芯片沒(méi)有像手機(jī)SoC制程一樣頻繁升級(jí),依然使用16nm左右制程,但這一局面可能會(huì)在未來(lái)有所改變。

與4G相比,5G智能手機(jī)需要更大的芯片面積、消耗更多的電量才能提供更高的無(wú)線傳輸速率,支持5G的芯片集成很多功能和組件,尺寸變大且與電池競(jìng)爭(zhēng)空間。因此,本次研討會(huì)上,臺(tái)積電首次推出N6RF工藝,將其先進(jìn)的邏輯工藝的功耗、性能和面積優(yōu)勢(shì)帶到5G射頻(RF)和WiFi 6、WiFi 6E解決方案中,預(yù)計(jì)N6RF晶體管性能將比上一代16nm射頻技術(shù)高出16%以上。

此外,臺(tái)積電還稱,N6RF支持低于6GHz和毫米波頻段的5G射頻收發(fā)器,降低功耗和面積,且不會(huì)影響為消費(fèi)者提供的性能、功能和電池壽命臺(tái)積電N6RF還將增強(qiáng)WiFi 6/6E的性能和電源效率。

持續(xù)擴(kuò)展3DFabric先進(jìn)封裝

臺(tái)積電還公布了其在先進(jìn)封裝方面的最新進(jìn)展。

在高性能計(jì)算應(yīng)用領(lǐng)域,臺(tái)積電將在2021年為其InFO_oS 和 CoWoS封裝解決方案提供更大的光罩尺寸,從而為小芯片和高帶寬內(nèi)存集成提供更大的二維平面。此外,臺(tái)積電的SoIC-CoW預(yù)計(jì)今年完成N7對(duì)N7的驗(yàn)證,并將于2022年在全新的全自動(dòng)化晶圓廠中開(kāi)始生產(chǎn)。

在移動(dòng)應(yīng)用領(lǐng)域,臺(tái)積電推出InFO_B解決方案,制造將強(qiáng)大的移動(dòng)處理器集成在薄而緊湊的封裝中,性能增強(qiáng)、電源效率變高,并支持移動(dòng)設(shè)備制造商在鳳裝飾的DEAM堆疊。

值得注意的是,在同期舉行的Computex大會(huì)上,AMD展示了其3D小芯片的首個(gè)應(yīng)用,并稱通過(guò)與臺(tái)積電的密切合作,其3D小芯片技術(shù)比當(dāng)前的3D封裝解決方案耗能更少,堆疊更靈活。AMD同時(shí)表示,有望在2021年底之前開(kāi)始生產(chǎn)具有3D小芯片的高端計(jì)算產(chǎn)品。

z注,文中圖片源自臺(tái)積電

本文參考連接:雷鋒網(wǎng)雷鋒網(wǎng)雷鋒網(wǎng)

https://www.anandtech.com/show/16732/tsmc-manufacturing-update

https://pr.tsmc.com/english/news/2831

]]>
晶圓代工 http://m.ozgbdpf.cn/category/manufacturing/WvpN1sGDAxsDbNDc.html#comments Wed, 02 Jun 2021 18:19:00 +0800
三巨頭3nm/2nm“大亂斗” http://m.ozgbdpf.cn/category/manufacturing/rSArGEHM3AijxCnE.html 幾家芯片制造商和無(wú)晶圓廠設(shè)計(jì)公司正在芯片工藝制程上互相競(jìng)爭(zhēng),開(kāi)發(fā) 3nm和2nm的下一個(gè)邏輯節(jié)點(diǎn)工藝與芯片,但將這些技術(shù)投入批量生產(chǎn)既昂貴又困難。

巨頭之間的競(jìng)爭(zhēng)提出新的問(wèn)題,這些新節(jié)點(diǎn)投入量產(chǎn)究竟需要多長(zhǎng)時(shí)間,為什么需要這些新的節(jié)點(diǎn)工藝。

遷移到下一個(gè)節(jié)點(diǎn)確實(shí)可以提升性能并減少功耗和面積(PPA),但這已不再是實(shí)現(xiàn)PPA的唯一方法。實(shí)際上,縮小特性對(duì)PPA的好處可能不如最小化系統(tǒng)間的數(shù)據(jù)移動(dòng)多。由于設(shè)備是為特定應(yīng)用而設(shè)計(jì)的,因此需要考慮的因素有許多,例如不同類型的高級(jí)封裝、硬件和軟件更緊密集成以及處理不同數(shù)據(jù)類型和功能的混合處理元件。

隨著越來(lái)越多的設(shè)備連接在一起,越來(lái)越多可用程序的出現(xiàn),我們看到數(shù)據(jù)呈指數(shù)級(jí)增長(zhǎng),還看到了完全不同的工作負(fù)載,隨著數(shù)據(jù)和不同使用模型的不斷發(fā)展,可以預(yù)計(jì)未來(lái)的工作負(fù)載會(huì)有更多變化。英特爾副總裁設(shè)計(jì)支持部總經(jīng)理加里·巴頓(Gary Patton)在SEMI最近召開(kāi)的先進(jìn)半導(dǎo)體制造大會(huì)的一次主題演講中表示:“這種數(shù)據(jù)演進(jìn)正在推動(dòng)硬件革命,對(duì)計(jì)算的需要也與以往不同。技術(shù)節(jié)點(diǎn)向前演進(jìn)是絕對(duì)的,但這還不夠,我們還需要解決系統(tǒng)級(jí)的異構(gòu)集成,工藝技術(shù)設(shè)計(jì)的協(xié)同優(yōu)化、軟硬件之間的優(yōu)化,更重要地,需要持續(xù)推動(dòng)人工智能和新的計(jì)算技術(shù)?!?/p>

因此,盡管晶體管水平性能仍然是一個(gè)重要因素,但在從前沿來(lái)看,它只是眾多因素中的一個(gè),不過(guò)至少在可預(yù)見(jiàn)的未來(lái)里,這依然是最大的芯片制造商不愿意放棄或讓步的競(jìng)爭(zhēng)。三星最近披露了關(guān)于其即將推出3nm工藝的更多細(xì)節(jié),該工藝基于下一代晶體管類型,稱為全柵極(GAA)FET。本月,IBM開(kāi)發(fā)了一種基于GAA-FET的2nm芯片。另外,臺(tái)積電正在開(kāi)發(fā)3nm和2nm,英特爾也在開(kāi)發(fā)更先進(jìn)的工藝,所有這些公司都在開(kāi)發(fā)一種稱之為納米片F(xiàn)ET的GAA FET,其性能優(yōu)于當(dāng)今的FinFET晶體管,但也更難制造、更加昂貴。

圖1:平面晶體管與FinFET以及GAA FET,來(lái)源:Lam Research

預(yù)計(jì)3nm的生產(chǎn)將于2022年中開(kāi)始,2nm的生產(chǎn)將在2023年或2024年之前完成,因此業(yè)界需要為這些技術(shù)做好準(zhǔn)備。不過(guò)目前的情況令人疑惑,關(guān)于新節(jié)點(diǎn)和新功能的官方公告并不完全像它們看起來(lái)的那樣。一方面,行業(yè)繼續(xù)在不同的節(jié)點(diǎn)上使用傳統(tǒng)的編號(hào)方案,但術(shù)語(yǔ)并沒(méi)有真正反映出哪家公司領(lǐng)先,另一方面,芯片制造商在所謂的3nm節(jié)點(diǎn)上朝著不同的方向發(fā)展,并不是所有3nm技術(shù)都一樣。

這樣做的好處是每個(gè)新節(jié)點(diǎn)都是特定應(yīng)用。在過(guò)去的幾個(gè)工藝節(jié)點(diǎn)中,晶體管密度提升正在放緩,且性價(jià)比在不斷下降,而且很少有公司能夠負(fù)擔(dān)得起僅基于最新節(jié)點(diǎn)的產(chǎn)品設(shè)計(jì)和制造能力。另一方面,開(kāi)發(fā)這些工藝的成本飛漲,配備先進(jìn)晶圓廠的成本也在飛速增長(zhǎng)。如今,三星和臺(tái)積電是僅有的兩家能夠制造7nm和5nm芯片的供應(yīng)商。

此后,晶體管結(jié)構(gòu)開(kāi)始發(fā)生變化。三星和臺(tái)積電正基于當(dāng)今的FinFET生產(chǎn)7nm和5nm的芯片,三星將轉(zhuǎn)向3nm的納米片F(xiàn)ET,英特爾也在開(kāi)發(fā)GAA技術(shù),臺(tái)積電計(jì)劃將FinFET擴(kuò)展到3nm,然后在2024年左右遷移到2nm納米片F(xiàn)ET。

IBM也正在開(kāi)發(fā)使用納米片的芯片,但是該公司已經(jīng)幾年沒(méi)有生產(chǎn)自己的芯片了,目前將其生產(chǎn)外包給三星。

逐漸混淆的工藝節(jié)點(diǎn)命名規(guī)則

近十年來(lái)集成電路行業(yè)一直試圖跟上摩爾定律,力爭(zhēng)每18到24個(gè)月芯片中的晶體管密度翻一番。晶體管就像芯片中的開(kāi)關(guān)一樣,由源極、漏極和柵極組成。在具體功能實(shí)現(xiàn)中,電子從源極流向漏極,并由柵極控制。有些芯片在同一個(gè)設(shè)備中有數(shù)十億個(gè)晶體管。

盡管非常艱難,芯片制造商還是以18到24個(gè)月的周期推出了一種晶體管密度更高的新工藝技術(shù),從而降低每個(gè)晶體管的成本。以這種節(jié)點(diǎn)節(jié)奏,芯片制造商將晶體管的規(guī)格擴(kuò)展了0.7倍,從而使該行業(yè)在同等功率的情況下提供40%的性能提升和50%的面積縮減,這個(gè)公式催生了快而新且功能更豐富的芯片。

每一個(gè)節(jié)點(diǎn)都有一個(gè)數(shù)字標(biāo)識(shí)。幾年前,節(jié)點(diǎn)的命名基于一個(gè)關(guān)鍵晶體管指標(biāo),即柵極長(zhǎng)度?!袄?,基于0.5微米技術(shù)節(jié)點(diǎn)生產(chǎn)的晶體管,柵極長(zhǎng)度就是0.5微米”,Lam Research大學(xué)項(xiàng)目負(fù)責(zé)人Nerissa Draeger解釋說(shuō)。

隨著時(shí)間的推進(jìn),柵極長(zhǎng)度縮放速度變慢,并在某些時(shí)候,它與相應(yīng)的節(jié)點(diǎn)名稱并不匹配。Draeger說(shuō):“多年來(lái),技術(shù)節(jié)點(diǎn)的定義不斷發(fā)展,現(xiàn)在被認(rèn)為更像是一個(gè)世代的名字,而不是任何關(guān)鍵維度的衡量標(biāo)準(zhǔn)”,Draeger說(shuō)。

一段時(shí)間以來(lái),節(jié)點(diǎn)名稱已經(jīng)成為純粹的營(yíng)銷名稱。例如,5nm是當(dāng)今最先進(jìn)的工藝,但5nm的規(guī)格還沒(méi)有達(dá)成一致,3nm、2nm等也是如此,當(dāng)供應(yīng)商對(duì)節(jié)點(diǎn)使用不同的定義時(shí),就更讓人困惑了。英特爾正以10nm工藝生產(chǎn)芯片,這大致相當(dāng)于臺(tái)積電和三星的7nm工藝。

多年來(lái),供應(yīng)商或多或少地遵循國(guó)際半導(dǎo)體技術(shù)路線圖(ITRS)定義晶體管微縮規(guī)格。2015年,ITRS的工作被叫停,業(yè)界只能自己定義規(guī)格。取而代之的是,IEEE實(shí)施了設(shè)備和系統(tǒng)的國(guó)際路線圖(IRDS),該路線圖的重點(diǎn)是延續(xù)摩爾定律(More Moore)和超越摩爾定律(More Than Moore)。

Draeger說(shuō):“不變的是,我們期望節(jié)點(diǎn)擴(kuò)展能夠帶來(lái)更好設(shè)備性能,更高的電源效率和更少的制造成本?!?/strong>

這并非易事,多年來(lái),供應(yīng)商一直使用傳統(tǒng)的平面晶體管來(lái)開(kāi)發(fā)芯片,但這一結(jié)構(gòu)在10年前的20nm處就已經(jīng)觸礁。平面晶體管仍用于28nm / 22nm及以上的芯片制造,但業(yè)界需要一種新的解決方案,這也就是為什么英特爾在2011年推出了22nm的FinFET,晶圓制造廠緊隨其后推出了16nm / 14nm的FinFET。在FinFET中,電流的控制是通過(guò)在Fin的三個(gè)邊的每個(gè)邊上實(shí)現(xiàn)一個(gè)柵極來(lái)完成的。

FinFET使業(yè)界能夠繼續(xù)進(jìn)行芯片微縮,但它們也更復(fù)雜、功能更小,導(dǎo)致設(shè)計(jì)成本不斷攀升。根據(jù)IBS首席執(zhí)行官漢德?tīng)枴き偹梗℉andel Jones)的說(shuō)法,設(shè)計(jì)一款“主流” 7nm芯片的成本為2.17億美元,而設(shè)計(jì)一款28nm芯片的成本為4000萬(wàn)美元。在這種情況下,成本是在一項(xiàng)技術(shù)投產(chǎn)后的兩年或兩年以上后確定的。

7nm及以下,靜態(tài)泄漏再次成為問(wèn)題,功率和性能效益也開(kāi)始減少?,F(xiàn)在,性能提升在15%到20%的范圍內(nèi)。

在制造方面,F(xiàn)inFET需要更復(fù)雜的工藝、新材料和不同的設(shè)備。這反過(guò)來(lái)又會(huì)提高制造成本?!比绻惆?5nm和5nm進(jìn)行比較,今天我們會(huì)看到晶圓成本增加了5倍。這是由于所需處理步驟增加所致”,TEL America副總裁兼副總經(jīng)理本·拉特薩克(Ben Rathsack)說(shuō)。

隨著時(shí)間的推移,擁有生產(chǎn)尖端芯片資源或能夠看到其價(jià)值的公司越來(lái)越少。如今,GlobalFoundries、三星、中芯國(guó)際、臺(tái)積電、UMC和英特爾正在生產(chǎn)16nm/14nm的芯片(英特爾稱之為22nm)。但只有三星和臺(tái)積電有能力制造7nm和5nm的芯片。英特爾仍在開(kāi)發(fā)7nm及更高版本,中芯國(guó)際也在開(kāi)發(fā)7nm。

從FinFET轉(zhuǎn)向納米片

在3nm及以下時(shí),微縮變得更加困難。開(kāi)發(fā)可靠且符合規(guī)范的低功耗芯片面臨一些挑戰(zhàn)。此外,IBS的數(shù)據(jù)顯示,開(kāi)發(fā)主流3nm芯片設(shè)計(jì)的成本高達(dá)5.9億美元,而5nm器件的成本為4.16億美元。

在制造方面,代工廠的客戶可以在3nm走兩條不同的路,但同樣要面臨艱難的選擇和各種權(quán)衡。

臺(tái)積電計(jì)劃通過(guò)縮小5nm FinFET的尺寸來(lái)將FinFET擴(kuò)展到3nm,盡可能實(shí)現(xiàn)無(wú)縫過(guò)渡。IBS的瓊斯說(shuō):“ TSMC計(jì)劃在2022年第三季度為蘋(píng)果公司提供3nm FinFET的量產(chǎn),計(jì)劃在2023年實(shí)現(xiàn)高性能計(jì)算?!?/p>

不過(guò),這是一項(xiàng)短期策略。當(dāng)鰭片寬度達(dá)到5nm(等于3nm節(jié)點(diǎn))時(shí),F(xiàn)inFET將接近實(shí)際極限。根據(jù)新的IDRS文件,3nm節(jié)點(diǎn)相當(dāng)于16nm至18nm的柵極長(zhǎng)度,45nm的柵極間距和30nm的金屬間距。相比之下,5nm節(jié)點(diǎn)相當(dāng)于18nm至20nm的柵極長(zhǎng)度,48nm的柵極間距和32nm的金屬間距。

一旦FinFET碰壁,芯片制造商將遷移到納米片F(xiàn)ET。三星從一開(kāi)始就采用3nm的納米片F(xiàn)ET,根據(jù)IBS的數(shù)據(jù),該產(chǎn)品定于2022年第四季度實(shí)現(xiàn)生產(chǎn)。

IBS還稱,臺(tái)積電計(jì)劃在2024年推出2nm的納米片F(xiàn)ET。英特爾也在開(kāi)發(fā)GAA。多家無(wú)晶圓廠設(shè)計(jì)公司正在研究3nm和2nm器件,蘋(píng)果等公司計(jì)劃將該技術(shù)用于下一代器件。

納米片F(xiàn)ET是從FinFET進(jìn)化而來(lái)的。在納米片中,來(lái)自FinFET的鰭被放在其側(cè)面,然后被分成單獨(dú)的水平片。片與片之間形成通道。第一納米片F(xiàn)ET將可能具有3個(gè)左右的片,用一扇門(mén)包裹著所有的薄片或通道。

納米片在結(jié)構(gòu)的四個(gè)側(cè)面上實(shí)現(xiàn)了柵極,比FinFET能夠更好地控制電流。Leti的高級(jí)集成工程師Sylvain Barraud說(shuō):“除了具有更好的柵極控制能力(與FinFET相比)以外,GAA堆疊的納米片F(xiàn)ET還具有更高的有效溝道寬度,從而提供了更高的DC性能?!?/p>

相對(duì)于FinFET,納米片F(xiàn)ET還具有其他優(yōu)勢(shì)。在FinFET中,器件的帶寬被量化,這影響了設(shè)計(jì)的靈活性。在納米片中,IC供應(yīng)商有能力改變晶體管中片的寬度。例如,具有更寬薄片的納米片提供了更多的驅(qū)動(dòng)電流和性能。窄的納米片具有較小的驅(qū)動(dòng)電流,但占用的面積較小。

“寬范圍的可變納米片寬度提供了更大的設(shè)計(jì)靈活性,對(duì)于FinFET來(lái)說(shuō)由于鰭片數(shù)量不連續(xù),更加靈活的設(shè)計(jì)性是不可能的。最后,由于使用不同的功函數(shù)金屬,GAA技術(shù)還提出了多種閾值電壓特性”, Barraud說(shuō)。

首批3nm器件開(kāi)始以早期測(cè)試芯片的形式出現(xiàn),在最近的一次活動(dòng)中,三星披露了基于3nm納米片技術(shù)的6T SRAM的開(kāi)發(fā)。這個(gè)設(shè)備解決了一個(gè)主要問(wèn)題,SRAM微縮了器件的面積,但同時(shí)也增加了位線(BL)電阻。作為響應(yīng),三星將自適應(yīng)雙BL和電池供電輔助電路集成到SRAM中。

三星研究員Taejoong Song在論文中說(shuō):“提出了一種全能的SRAM設(shè)計(jì)技術(shù),該技術(shù)可以在功耗、性能和面積之外,更自由地提高SRAM容限。此外,提出了SRAM輔助方案來(lái)克服金屬電阻,從而最大限度地提高了GAA器件的優(yōu)勢(shì)?!?/p>

同時(shí),IBM最近展示了一種處于測(cè)試階段的2nm芯片。該器件基于納米片F(xiàn)ET,可以集成多達(dá)500億個(gè)晶體管,每個(gè)晶體管由三個(gè)納米片組成,每個(gè)納米片的寬度為14nm,高度為5nm??偟膩?lái)說(shuō),該晶體管具有44nm的接觸多晶硅間距和12nm的柵極長(zhǎng)度。

IBM仍在研發(fā)中,目標(biāo)是在2024年推出該芯片。但在任何節(jié)點(diǎn)上,納米片器件在投入生產(chǎn)之前都面臨若干挑戰(zhàn)。IBM混合云研究副總裁Mukesh Khare說(shuō):“挑戰(zhàn)的數(shù)量沒(méi)有限制。我想說(shuō)最大的挑戰(zhàn)包括泄漏。如何降低功率?當(dāng)薄板厚度為5nm且通道長(zhǎng)度為12nm時(shí),如何提高小尺寸的性能?如何在2nm內(nèi)獲得合理的RC效益?最后,芯片必須優(yōu)于先前的節(jié)點(diǎn)。

制造納米片F(xiàn)ET是困難的?!霸谌荛T(mén)納米片/納米線中,我們必須在看不見(jiàn)的結(jié)構(gòu)下進(jìn)行處理,而在該結(jié)構(gòu)下進(jìn)行測(cè)量更具挑戰(zhàn)性。這將是一個(gè)更加困難的過(guò)渡,” Lam Research計(jì)算產(chǎn)品副總裁David Fried說(shuō)。

在工藝流程中,納米片F(xiàn)ET首先在襯底上形成超晶格結(jié)構(gòu),外延工具在襯底上沉積硅鍺(SiGe)和硅(Si)的交替層。

這需要極端的過(guò)程控制?!皩?duì)每一對(duì)Si / SiGe的厚度和成分進(jìn)行在線監(jiān)測(cè)至關(guān)重要,這些參數(shù)是器件性能和量產(chǎn)良率的關(guān)鍵。” Bruker產(chǎn)品營(yíng)銷總監(jiān)Lior Levin說(shuō)?!?/p>

下一步是在超晶格結(jié)構(gòu)中開(kāi)發(fā)微小的垂直鰭片。然后,形成內(nèi)墊片,形成源極/漏極,接著進(jìn)行溝道釋放工藝。柵極被開(kāi)發(fā)出來(lái),形成納米片F(xiàn)ET。

圖2:堆疊納米片F(xiàn)ET的工藝流程。資料來(lái)源:Leti/半導(dǎo)體工程

晶體管微縮競(jìng)爭(zhēng)之外,異構(gòu)集成競(jìng)爭(zhēng)同樣激烈

晶體管微縮只是方程式的一部分。伴隨晶體管未做競(jìng)爭(zhēng)的持續(xù),異構(gòu)集成方面的競(jìng)爭(zhēng)也同樣激烈,許多最先進(jìn)的架構(gòu)不僅包含在單個(gè)制程節(jié)點(diǎn)上開(kāi)發(fā)單片芯片,還包含許多處理元件,包括一些高度專用的元件和不同類型的存儲(chǔ)器。

英特爾的巴頓說(shuō):“分布式計(jì)算正在推動(dòng)另一個(gè)趨勢(shì),即越來(lái)越多特定領(lǐng)域的體系結(jié)構(gòu)。我們看到的另一個(gè)趨勢(shì)是從整體上分解出特定領(lǐng)域的體系結(jié)構(gòu),主要由人工智能驅(qū)動(dòng),并且為提高效率而量身定制?!?/p>

將復(fù)雜模塊集成到一個(gè)封裝中的先進(jìn)封裝方式正在發(fā)揮作用?!艾F(xiàn)在,封裝創(chuàng)新開(kāi)始在提高產(chǎn)品性能方面發(fā)揮更大的作用。”巴頓說(shuō)。

“從一個(gè)節(jié)點(diǎn)到另一個(gè)節(jié)點(diǎn)的性能、功率和面積肯定涉及到更多因素,” Arm公司技術(shù)副總裁兼專家Peter Greenhalgh說(shuō):“如果世界僅依靠晶圓廠來(lái)獲得全部收益,您將非常失望。Arm提供了一種LEGO設(shè)計(jì)。該樂(lè)高積木被添加到其他樂(lè)高積木中,以構(gòu)建一個(gè)非常有趣的芯片。有許多昂貴的方法可以做到這一點(diǎn),但也將在一定程度上實(shí)現(xiàn)商品化和協(xié)調(diào)化。”

向異構(gòu)架構(gòu)過(guò)渡的同時(shí),還擴(kuò)展了邊緣范圍,涵蓋了從物聯(lián)網(wǎng)設(shè)備到各種級(jí)別的服務(wù)器基礎(chǔ)架構(gòu)的所有方面,以及Google、阿里巴巴、AWS和Apple等系統(tǒng)公司將設(shè)計(jì)自己的硬件,以優(yōu)化龐大數(shù)據(jù)中心內(nèi)部特定數(shù)據(jù)流。這掀起了狂熱的芯片設(shè)計(jì)活動(dòng),將定制和非定制硬件,非標(biāo)準(zhǔn)程序包以及各種方法(例如內(nèi)存和近內(nèi)存處理)結(jié)合在一起,這些方法過(guò)去從未獲得過(guò)廣泛的關(guān)注。它還著重于如何對(duì)處理進(jìn)行分區(qū),哪些組件和流程需要在微體系結(jié)構(gòu)中確定優(yōu)先級(jí),以及基于特定異構(gòu)設(shè)計(jì)的各種組件的最佳工藝節(jié)點(diǎn)是什么。

Greenhalgh說(shuō):“視頻加速就是一個(gè)很好的例子。如果您是一家云服務(wù)器公司,并且要進(jìn)行大量的視頻解碼和編碼,那么您就不想在CPU上這樣做。您要在其中放置視頻加速器。這是一個(gè)范式轉(zhuǎn)變?!?/strong>

因此,存有更多不同種類的處理器元件,還有一些擴(kuò)展是現(xiàn)有處理器核心的開(kāi)發(fā)。

Synopsys的高級(jí)市場(chǎng)營(yíng)銷經(jīng)理Rich Collins表示:“我們一直有能力通過(guò)添加自定義指令或連接自定義加速器擴(kuò)展架構(gòu)(用于ARC處理器)?,F(xiàn)在的不同之處在于,越來(lái)越多的客戶正在利用這一優(yōu)勢(shì)。人工智能是一個(gè)時(shí)髦的詞,它意味著很多不同的東西,在這個(gè)術(shù)語(yǔ)后面,我們看到了很多變化,越來(lái)越多的公司在標(biāo)準(zhǔn)處理器上添加了神經(jīng)網(wǎng)絡(luò)引擎?!?/p>

這些變化不僅僅是技術(shù)上的,也需要芯片公司內(nèi)部做成一些改變。從各種工程師團(tuán)隊(duì)的組成到公司本身的結(jié)構(gòu)。

英飛凌汽車高級(jí)副總裁Shawn Slusser表示:“過(guò)去,你會(huì)發(fā)明一堆產(chǎn)品,將它們放在一堆數(shù)據(jù)手冊(cè)中,然后人們會(huì)嘗試找到它們。由于設(shè)備的復(fù)雜性和使用壽命,這種方法不再見(jiàn)效?,F(xiàn)在,我們正在研究一種更像是半導(dǎo)體超級(jí)市場(chǎng)的模型。如果你想將現(xiàn)實(shí)世界與數(shù)字世界聯(lián)系起來(lái),那么一切都可以在同一個(gè)地方得到,包括產(chǎn)品、人員和專業(yè)知識(shí)?!?/p>

較大的公司一直在內(nèi)部發(fā)展這種專業(yè)模式,這在蘋(píng)果的M1芯片中很明顯。M1是使用臺(tái)積電的5nm工藝開(kāi)發(fā)的,它集成了Arm V8內(nèi)核、GPU、自定義微體系結(jié)構(gòu)、神經(jīng)引擎和圖像信號(hào)處理器,所有這些都集成在一個(gè)系統(tǒng)級(jí)封裝中。盡管該設(shè)計(jì)的性能可能不如使用標(biāo)準(zhǔn)行業(yè)基準(zhǔn)的其他芯片那樣出色,但運(yùn)行Apple應(yīng)用程序的性能和功耗方面的改進(jìn)顯而易見(jiàn)。

根據(jù)行業(yè)估計(jì),截至今天已有約200家公司正在開(kāi)發(fā)或已經(jīng)開(kāi)發(fā)出加速器芯片,其中有多少能存活還不得而知,最終走向解體是不可避免的。在邊緣,汽車、安全系統(tǒng)、機(jī)器人、AR / VR甚至智能手機(jī)生成的數(shù)據(jù)太多,無(wú)法將所有數(shù)據(jù)發(fā)送到云端進(jìn)行處理。它花費(fèi)的時(shí)間太長(zhǎng),并且需要太多的功耗、內(nèi)存和帶寬。很多數(shù)據(jù)都需要進(jìn)行預(yù)處理,硬件處理這些數(shù)據(jù)的優(yōu)化程度越高,電池壽命就越長(zhǎng)、功耗也就越低。

這就是為什么風(fēng)險(xiǎn)投資在過(guò)去幾年中一直向硬件初創(chuàng)公司投入資金的原因。在接下來(lái)的12到24個(gè)月內(nèi),預(yù)計(jì)該領(lǐng)域投資將顯著減少。

Flex Logix首席執(zhí)行官Geoff Tate表示:“合理推斷,隨著公司進(jìn)入市場(chǎng)并與客戶互動(dòng),這一窗口將開(kāi)始關(guān)閉。在接下來(lái)的12個(gè)月中,投資者將開(kāi)始獲得真正的數(shù)據(jù),看看哪種架構(gòu)真正獲勝。在過(guò)去的幾年里,誰(shuí)擁有最好的PPT是關(guān)鍵??蛻魧⒓铀僖暈檫\(yùn)行神經(jīng)網(wǎng)絡(luò)模型的必要條件,對(duì)于模型來(lái)說(shuō),它將運(yùn)行多快,需要多少功率以及要花費(fèi)多少成本? 他們將依據(jù)這些條件選出最適合自己比賽或符合條件的模型?!?/p>

設(shè)計(jì)也在云端發(fā)生變化。在云計(jì)算中,更快的處理以及準(zhǔn)確確定處理地點(diǎn)的能力可能會(huì)對(duì)能效以及數(shù)據(jù)中心的容量產(chǎn)生重大影響。例如,不只是將DRAM連接到一顆芯片上,DRAM還可以在許多服務(wù)器之間共享,從而使工作負(fù)載可以分布在更多計(jì)算機(jī)上。這不僅為負(fù)載平衡提供了更高的粒度,而且還提供了散熱的方式,從而減少了對(duì)冷卻的需求,并有助于延長(zhǎng)服務(wù)器的使用壽命。

Rambus資深研究員、發(fā)明家史蒂文·伍(Steven Woo)說(shuō):“其中一些數(shù)據(jù)中心中有成千上萬(wàn)臺(tái)服務(wù)器,全球有數(shù)以萬(wàn)計(jì)的數(shù)據(jù)中心?,F(xiàn)在,你得想辦法將它們捆綁在一起。有一些新技術(shù)即將問(wèn)世。一種是DDR5,它具有更高的電源效率,更遙遠(yuǎn)一點(diǎn)的是Compute Express Link(CXL)。長(zhǎng)期以來(lái),能夠放入服務(wù)器的內(nèi)存量是有限的,但現(xiàn)在由于能夠在云中執(zhí)行更多工作并租用虛擬機(jī),工作負(fù)載的范圍要大得多。CXL使你能夠在系統(tǒng)中擁有基本配置,還可以擴(kuò)展可用的內(nèi)存帶寬和容量。因此,現(xiàn)在你可以突然支持比以前更大范圍的工作負(fù)載。”

結(jié)論

爭(zhēng)奪更加先進(jìn)的幾個(gè)制程節(jié)點(diǎn)的競(jìng)爭(zhēng)仍在繼續(xù)。剩下的問(wèn)題是,當(dāng)公司可以通過(guò)其他方式獲得足夠的收益時(shí),哪些公司愿意花時(shí)間和金錢在這些節(jié)點(diǎn)上開(kāi)發(fā)芯片。

不同市場(chǎng)的經(jīng)濟(jì)和動(dòng)態(tài)正在迫使芯片制造商評(píng)估如何以最大的投資回報(bào)率來(lái)最好地應(yīng)對(duì)市場(chǎng)機(jī)會(huì),在某些情況下,這可能遠(yuǎn)遠(yuǎn)超出開(kāi)發(fā)先進(jìn)芯片的成本。實(shí)現(xiàn)不同目標(biāo)有很多選擇,到達(dá)同一目標(biāo)的方法通常也不止一種。

雷鋒網(wǎng)編譯,原文作者M(jìn)ark LaPedus and Ed Sperling雷鋒網(wǎng)雷鋒網(wǎng)

原文鏈接https://semiengineering.com/the-increasingly-uneven-race-to-3nm-2nm/

]]>
晶圓代工 http://m.ozgbdpf.cn/category/manufacturing/rSArGEHM3AijxCnE.html#comments Tue, 25 May 2021 21:56:00 +0800
韓國(guó)計(jì)劃打造全球最大芯片制造基地,斥資4500億美元 http://m.ozgbdpf.cn/category/manufacturing/aQPBYxORGlNC9ak4.html 在缺芯潮的刺激下,全球各國(guó)家地區(qū)紛紛加入爭(zhēng)奪芯片制造霸主的戰(zhàn)爭(zhēng)中。據(jù)彭博社本周四報(bào)道,韓國(guó)宣布了其野心勃勃的半導(dǎo)體發(fā)展計(jì)劃,計(jì)劃在未來(lái)十年內(nèi)斥資約4500億美元建設(shè)全球最大的芯片制造基地,與中國(guó)和美國(guó)共同爭(zhēng)奪芯片制造的關(guān)鍵技術(shù)。

其中,韓國(guó)政府將通過(guò)減稅,降低利率、放寬法規(guī)和加強(qiáng)基礎(chǔ)設(shè)施減等措施來(lái)激勵(lì)韓國(guó)的半導(dǎo)體企業(yè)。同時(shí)確保在未來(lái)十年為目標(biāo)地區(qū)提供對(duì)芯片晶圓廠必不可少的水電供應(yīng)。 

韓國(guó)希望通過(guò)此次計(jì)劃,在2022年至2031年間幫助培養(yǎng)36000名芯片專家,為芯片研究和開(kāi)發(fā)貢獻(xiàn)13億美元,并幫助半導(dǎo)體行業(yè)立法。

報(bào)道稱,三星電子和SK海力士作為153家推動(dòng)這項(xiàng)長(zhǎng)達(dá)十年計(jì)劃的公司成員之二,將根據(jù)韓國(guó)總統(tǒng)文在寅及政府制定的國(guó)家藍(lán)圖,在截止到2030年的未來(lái)十年內(nèi),在半導(dǎo)體研發(fā)和生產(chǎn)方面引領(lǐng)近4500億美元的投資。

本周四,三星的芯片高管發(fā)布了關(guān)于這一計(jì)劃的相關(guān)信息。三星首席執(zhí)行長(zhǎng)樸正浩表示,到2030年,三星將把其支出增加30%,海力士則承諾斥資970億美元擴(kuò)建現(xiàn)有設(shè)施,并計(jì)劃在龍仁建設(shè)四個(gè)新工廠,投資1060億美元。

三星和SK海力士是全球重要的存儲(chǔ)芯片制造商,制造了全球大部分存儲(chǔ)芯片,幾乎遍及所有可以用來(lái)存儲(chǔ)的設(shè)備。但在先進(jìn)的邏輯芯片制造生產(chǎn)上,例如能夠運(yùn)行AI和數(shù)據(jù)處理等復(fù)雜的計(jì)算任務(wù)的芯片,韓國(guó)卻比較滯后。反觀中國(guó)臺(tái)灣半導(dǎo)體制造商臺(tái)積電,則擁有較強(qiáng)的邏輯芯片制造實(shí)力。

因此,三星一直將發(fā)展邏輯芯片作為自己與臺(tái)積電競(jìng)爭(zhēng)的目標(biāo),以確保能夠在英偉達(dá)的GPU業(yè)務(wù)以及高通的移動(dòng)處理器業(yè)務(wù)上爭(zhēng)取到更大的份額。與此同時(shí),海力士也宣布其進(jìn)軍邏輯芯片的計(jì)劃。

事實(shí)上,早在2019年,三星電子就承諾到2030年,在邏輯業(yè)務(wù)上投入133萬(wàn)億韓元(約合1178億美元),這次是在原來(lái)的基礎(chǔ)上再增加38萬(wàn)億韓元(約合336億美元),以加速先進(jìn)的研究并擴(kuò)大生產(chǎn)。

“通過(guò)增加更多的投資,F(xiàn)oundry的擴(kuò)產(chǎn)將有助于推動(dòng)AI、5G和自動(dòng)駕駛等下一代技術(shù)為基礎(chǔ)的整個(gè)新興產(chǎn)業(yè)。”三星電子表示。

另外,三星還宣布已經(jīng)在其位于韓國(guó)平澤市的工廠建設(shè)一條新的生產(chǎn)線P3,使用EUV光刻技術(shù)制造14nm DRAM和5nm邏輯芯片,這條被命名為P3的生產(chǎn)線將于2022年下半年竣工。

“整個(gè)半導(dǎo)體行業(yè)正面臨一個(gè)分水嶺,現(xiàn)在是制定長(zhǎng)期戰(zhàn)略和投資計(jì)劃的時(shí)候了?!比歉倍麻L(zhǎng)兼芯片業(yè)務(wù)負(fù)責(zé)人金基南表示。

 雷鋒網(wǎng)雷鋒網(wǎng)雷鋒網(wǎng)

]]>
晶圓代工 http://m.ozgbdpf.cn/category/manufacturing/aQPBYxORGlNC9ak4.html#comments Thu, 13 May 2021 18:44:00 +0800
臺(tái)積電或計(jì)劃再在美國(guó)擴(kuò)建5座晶圓廠 http://m.ozgbdpf.cn/category/manufacturing/b76gk7TQCj14f0Op.html 據(jù)路透社5月5日?qǐng)?bào)道,三位知情人士告訴路透社,世界領(lǐng)先的晶圓代工廠、蘋(píng)果芯片的合作伙伴臺(tái)積電正計(jì)劃在美國(guó)亞利桑那州之外,再另外建造5個(gè)晶圓廠。 

2020年5月,該工廠最初宣布在亞利桑那州建立一座價(jià)值120億美元的芯片工廠,該工廠顯然是特朗普政府計(jì)劃讓全球技術(shù)供應(yīng)鏈以及芯片制造業(yè)重新回到美國(guó)的步驟之一。目前看來(lái),這可能不是臺(tái)積電計(jì)劃在美國(guó)建造的唯一項(xiàng)目。 

一位消息人士稱,計(jì)劃增加更多工廠是對(duì)美國(guó)政府要求的回應(yīng),消息人士說(shuō):“臺(tái)積電內(nèi)部計(jì)劃建立多達(dá)六個(gè)晶圓廠”,此外未透露更多細(xì)節(jié)。

今年4月,臺(tái)積電曾與其他芯片廠商的高管共同參加了白宮虛擬峰會(huì),旨在共同尋找緩解全球芯片短缺的方法,計(jì)劃花費(fèi)數(shù)百億美元來(lái)提高美國(guó)國(guó)內(nèi)芯片生產(chǎn)水平,臺(tái)積電也可能獲得在美國(guó)制造更多芯片的資格。

另一消息人員表示,其設(shè)施的位置可能與當(dāng)前項(xiàng)目非常接近,臺(tái)積電表示已經(jīng)確保有足夠的土地用于擴(kuò)展新的項(xiàng)目。至于修建新的晶圓廠需要花費(fèi)多長(zhǎng)時(shí)間,第三位消息人員表示,臺(tái)積電已經(jīng)告知供應(yīng)商,該計(jì)劃是在未來(lái)三年內(nèi)建造這六個(gè)工廠。

臺(tái)積電首席執(zhí)行官魏哲家在4月的財(cái)報(bào)電話上評(píng)論了正在建設(shè)的工廠,并表示該工廠可能在2024年開(kāi)始生產(chǎn)5nm芯片,每月量產(chǎn)2萬(wàn)塊晶圓。

在電話會(huì)議中,有人提到該公司已經(jīng)收購(gòu)亞利桑那州的一大片土地以保證建廠的靈活性,魏哲家表示,“進(jìn)一步擴(kuò)展是可能的,但必須首先進(jìn)行第一階段,然后根據(jù)運(yùn)營(yíng)效率和成本經(jīng)濟(jì)以及客戶的需求,來(lái)決定下一步要做什么。”

“一旦有任何官方?jīng)Q定,我們將相應(yīng)地予以披露?!?/p>

雷鋒網(wǎng)編譯,原文鏈接:https://appleinsider.com/articles/21/05/04/five-more-tsmc-chip-plants-planned-in-us-expansion

雷鋒網(wǎng)雷鋒網(wǎng)

]]>
晶圓代工 http://m.ozgbdpf.cn/category/manufacturing/b76gk7TQCj14f0Op.html#comments Wed, 05 May 2021 12:58:00 +0800
28nm增產(chǎn)競(jìng)賽,缺芯只是表面原因 http://m.ozgbdpf.cn/category/manufacturing/xGFjLuL9mTPDn9mf.html 近幾個(gè)月,晶圓代工廠相繼宣布擴(kuò)充產(chǎn)能,華虹半導(dǎo)體宣布建設(shè)一條工藝等級(jí)為90-65/55nm的生產(chǎn)線,臺(tái)積電、聯(lián)華電子及中芯國(guó)際也紛紛指向了28nm產(chǎn)能擴(kuò)充。

最早是今年3月18日,中芯國(guó)際發(fā)布公告稱公司與深圳市簽訂合作框架協(xié)議,中芯深圳將重點(diǎn)生產(chǎn)28nm及以上的集成電路和提供技術(shù)服務(wù),最終實(shí)現(xiàn)每月約4萬(wàn)片12吋晶圓產(chǎn)能,預(yù)計(jì)項(xiàng)目投資金額23.5億美元(折合152.75億元人民幣)。

4月份,臺(tái)積電宣布斥資28.87億美元(折合187.19億元人民幣)擴(kuò)充南京臺(tái)積電28nm產(chǎn)能,預(yù)計(jì)達(dá)到美元4萬(wàn)片的生產(chǎn)規(guī)模。緊接著,聯(lián)電也召開(kāi)線上會(huì)議,宣布投資約135.3億元人民幣擴(kuò)充在臺(tái)南科學(xué)園區(qū)的12吋廠Fab12的28nm產(chǎn)能。

這幾家宣布擴(kuò)產(chǎn)的代工廠,都預(yù)計(jì)將在2022年開(kāi)始正常生產(chǎn)。十多年前開(kāi)發(fā)出的28nm工藝制程,在5nm先進(jìn)制程被廣泛用在智能手機(jī)上的今天,依然熱度不減,甚至引發(fā)各個(gè)晶圓廠之前新一輪競(jìng)爭(zhēng)。

值得注意的是,這次28nm產(chǎn)能的集體擴(kuò)充,與當(dāng)下備受關(guān)注的缺芯潮并無(wú)太大直接聯(lián)系。

代工巨頭臺(tái)積電的“轉(zhuǎn)折點(diǎn)”

依然是在摩爾定律的推動(dòng)下,芯片工藝制程在2010年左右發(fā)展到28nm,彼時(shí)的半導(dǎo)體公司受金融危機(jī)影響元?dú)獯髠?,很多IDM公司或剝離制造業(yè)務(wù)或?qū)⒏嗟馁Y源投資到芯片設(shè)計(jì)中,給晶圓代工廠帶來(lái)更多發(fā)展空間。

在78歲高齡的張忠謀重回歸臺(tái)積電后,臺(tái)積電在2011年成為首個(gè)量產(chǎn)28nm工藝制程的代工廠。當(dāng)時(shí)的報(bào)道稱,臺(tái)積電推出的第一個(gè)版本的是低功耗28nmLP,采用傳統(tǒng)的SiON工藝,引入了多晶硅柵和二氧化硅硝酸鹽,適合低頻環(huán)境。

事實(shí)上,工藝制程發(fā)展到45nm時(shí),核心面積減少導(dǎo)致單位面積密度增高,漏電問(wèn)題更加嚴(yán)重,此時(shí)傳統(tǒng)的二氧化硅柵極介電質(zhì)工藝遇到瓶頸,也就是臺(tái)積電所量產(chǎn)的第一代28nm產(chǎn)品,雖然能夠縮小芯片面積但并未解決高功耗的問(wèn)題,因此業(yè)界普遍轉(zhuǎn)向了能夠降低漏電的HKMG(high-k絕緣層+金屬柵極)疊層技術(shù)。

而在選擇HKMG晶體管結(jié)構(gòu)上,業(yè)界分成兩大陣營(yíng),一家是以IBM為首的Gate-First工藝流派,其支持者還有英飛凌、NEC、GF、三星和意法半導(dǎo)體等芯片制造技術(shù)聯(lián)盟所屬成員。另一家是以Intel、臺(tái)積電為代表的Gate-Last工藝流派。這兩種工藝流派各自都有需要攻克的難點(diǎn),前者的PMOS管門(mén)限電壓難以控制,后者需要設(shè)計(jì)環(huán)節(jié)積極配合修改電路來(lái)提升管芯密度。盡管雙方都宣稱自己的工藝更適合HKMG晶體管,但未有實(shí)際產(chǎn)品出世證明誰(shuí)更優(yōu)越。

率先在2012年攻克了28nm HKMG制程的臺(tái)積電證明了更少人看好的 Gate-Last更具潛力與優(yōu)勢(shì),推出適用于高頻的28nm而后繼續(xù)向20nm前進(jìn)。

臺(tái)積電工藝節(jié)點(diǎn)發(fā)展歷程,圖片源自臺(tái)積電官網(wǎng)

而這一次在HKMG上的選擇讓臺(tái)積電大獲全勝,營(yíng)收與獲利屢創(chuàng)新高,將彼時(shí)最大競(jìng)爭(zhēng)對(duì)手三星、GF遠(yuǎn)遠(yuǎn)甩在身后。在迅速轉(zhuǎn)向28nm的2012年,臺(tái)積電在第四季度財(cái)報(bào)會(huì)上表示:公司在這一年里實(shí)現(xiàn)了創(chuàng)紀(jì)錄的營(yíng)收和利潤(rùn),出貨量相比2011年增長(zhǎng)了30倍。

到了2013年,三星、GF以及UMC的28nm HKMG才剛剛導(dǎo)入量產(chǎn),而臺(tái)積電則利用先發(fā)優(yōu)勢(shì)快速搶占客戶資源、占領(lǐng)市場(chǎng),28nm出貨量持續(xù)攀升,甚至占據(jù)了超過(guò)80%的細(xì)分節(jié)點(diǎn)市場(chǎng)份額。

各晶圓廠28nm及以下的量產(chǎn)能力,圖片源自O(shè)MDIA

雖然摩爾定律指出,集成電路上可容納的晶體管數(shù)目大約每經(jīng)過(guò)18個(gè)月便會(huì)增加一倍,處理器性能每隔兩年翻一倍,但并不意味著工藝節(jié)點(diǎn)發(fā)展到下一代時(shí),上一代就失去存在的意義,對(duì)28nm而言更是如此。

效益最高、應(yīng)用廣泛的黃金28nm

臺(tái)積電雖然早在2011年就實(shí)現(xiàn)了28nm的量產(chǎn)且一直在開(kāi)發(fā)更加先進(jìn)的工藝,但28nm卻始終是臺(tái)積電的核心業(yè)務(wù),2016年?duì)I收占比26%,2017年和2018年占比23%,直到2020年,28nm的營(yíng)收也依然占總營(yíng)收的12.67%,僅次于7nm和16nm,需要用到EUV光刻機(jī)才能制造的5nm節(jié)點(diǎn)營(yíng)收也只占全年收入的7.72%。

28nm能夠支撐臺(tái)積電核心業(yè)務(wù)近十年,主要有兩個(gè)重要原因。一方面是先進(jìn)制程中28nm成本效益高,往后需要FinEFT工藝的16/14nm節(jié)點(diǎn),晶圓制造成本將增加至少50%,同時(shí)使用壽命比不上28nm節(jié)點(diǎn),更先進(jìn)的工藝成本更高,只用擁有最大市場(chǎng)的智能手機(jī)才能承受如此昂貴成本。

另一方面,隨著28nm工藝的成熟,市場(chǎng)需求呈爆炸性增長(zhǎng),從最開(kāi)始應(yīng)用在手機(jī)處理器和基帶上,到后來(lái)在OOT盒和智能電視等更加廣泛的應(yīng)用領(lǐng)域。

隨著個(gè)人集成電路時(shí)代的到來(lái)以及物聯(lián)網(wǎng)、5G等技術(shù)的演進(jìn),無(wú)論是用來(lái)改善手機(jī)屏幕的OLED驅(qū)動(dòng)器,還是滿足物聯(lián)網(wǎng)設(shè)備的各種連接芯片,還是用在混合計(jì)算中心、無(wú)線基站以及自動(dòng)駕駛汽車等專有領(lǐng)域的FPGA,高性能低功耗的28nm工藝都是理想的選擇。

放眼于全球,根據(jù)TrendForce調(diào)查研究,2020年28nm及以上制程的產(chǎn)品線更加廣泛,包括CMOS圖像傳感器、小尺寸面板驅(qū)動(dòng)IC、射頻元件、電視系統(tǒng)單芯片、WiFi及藍(lán)牙芯片等眾多需求增長(zhǎng),28nm訂單持續(xù)爆滿。

還有一個(gè)重要原因是,盡管客戶更愿意使用更加成熟的工藝和更低的成本制造,但8吋晶圓廠隨著設(shè)備折舊而數(shù)量大幅下降,即200mm晶圓利用率升高且產(chǎn)能增長(zhǎng)緩慢,因此原本能夠用更加成熟制程的電子產(chǎn)品也被迫往28nm遷移。

擴(kuò)產(chǎn)28nm是共識(shí),缺芯潮只是引爆點(diǎn)

晶圓代工廠們相繼宣布擴(kuò)產(chǎn)28nm,表面上看似乎與當(dāng)下的缺芯潮密切相關(guān),擴(kuò)產(chǎn)已經(jīng)發(fā)展成熟、效益最高的28nm產(chǎn)能,能更好更快地解決缺芯問(wèn)題。

不過(guò)產(chǎn)能擴(kuò)充往往需要較長(zhǎng)的時(shí)間周期,這些28nm芯片至少明年才能正常生產(chǎn),短時(shí)間內(nèi)依然無(wú)法解決產(chǎn)能短缺的問(wèn)題。實(shí)際上業(yè)界和市場(chǎng)早已對(duì)28nm做出評(píng)估,即使沒(méi)有這一次缺芯潮,依然會(huì)選擇擴(kuò)產(chǎn)28nm工藝。

一位半導(dǎo)體行業(yè)資深人士告訴雷鋒網(wǎng),擴(kuò)產(chǎn)反應(yīng)了業(yè)界對(duì)28nm工藝制程的共識(shí),未來(lái)半導(dǎo)體行業(yè)的整體用量依然會(huì)繼續(xù)增加,包括車用、電源等方面,就整個(gè)晶圓廠目前28nm的產(chǎn)能,也沒(méi)有特別大,中芯國(guó)際目前28nm月產(chǎn)能大約在8萬(wàn)片。

“產(chǎn)能遲早都要往前走,這次的缺芯潮是一個(gè)誘因,讓各個(gè)晶圓廠下定決心一起往前走?!?/strong>

值得注意的是,去年年底我國(guó)國(guó)務(wù)院也發(fā)布《新時(shí)期促進(jìn)集成電路產(chǎn)業(yè)和軟件產(chǎn)業(yè)高質(zhì)量發(fā)展的若干政策》,政策顯示,國(guó)家鼓勵(lì)的集成電路線寬小于28納米(含),且經(jīng)營(yíng)期在15年以上的集成電路生產(chǎn)企業(yè)或項(xiàng)目,第一年至第十年免征企業(yè)所得稅。從某種程度上也證明了28nm的重要性。

或許28nm看上去沒(méi)有5nm、2nm高端,但適用范圍確實(shí)更加廣泛,人人都在關(guān)注更加先進(jìn)的制程支撐智能手機(jī)這塊巨大的市場(chǎng),但最先進(jìn)的不一定適合所有,應(yīng)用范圍最廣泛的不一定最先進(jìn)。

文章參考鏈接:

https://omdia.tech.informa.com/OM016176/28nm-to-be-a-long-lived-node-for-semiconductor-applications-in-the-next-five-years

https://www.cnbeta.com/articles/tech/106647.htm

http://news.moore.ren/industry/75253.htm

http://pdf.dfcfw.com/pdf/H3_AP201711211053905974_01.pdf

雷鋒網(wǎng)雷鋒網(wǎng)雷鋒網(wǎng)

 


]]>
晶圓代工 http://m.ozgbdpf.cn/category/manufacturing/xGFjLuL9mTPDn9mf.html#comments Fri, 30 Apr 2021 18:47:00 +0800